Bài giảng Điện tử số - Nguyễn Hồng Hoa

pdf 123 trang ngocly 2860
Bạn đang xem 20 trang mẫu của tài liệu "Bài giảng Điện tử số - Nguyễn Hồng Hoa", để tải tài liệu gốc về máy bạn click vào nút DOWNLOAD ở trên

Tài liệu đính kèm:

  • pdfbai_giang_dien_tu_so_nguyen_hong_hoa.pdf

Nội dung text: Bài giảng Điện tử số - Nguyễn Hồng Hoa

  1. BÀI GIẢNG MÔN ĐIỆN TỬ SỐ HỌC VIỆN CÔNG NGHỆ BƯU CHÍNH VIỄN THÔNG BÀI GIẢNG MÔN ĐIỆN TỬ SỐ Giảng viên: ThS.NguyễnHồng Hoa Điệnthoại : 0904505559 Email : hoanh@ptit.edu.vn Bộ môn : Kỹ thuật điệntử - Khoa KTĐT1 Họckỳ I - Nămhọc 2009 - 2010 BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ GIỚI THIỆU MÔN HỌC * Mục đích: - Giúp sinh viên nắm đượcphương pháp phân tích cũng như thiếtkế, chế tạo mộthệ thống số. - Giúp sinh viên nắm đượcthế nào là phầncứng, phầnmềm, mối liên hệ giữa phầncứng, phầnmềm. -Làcơ sởđểsinh viên họctiếphệ thống số, vi xử lý, * Đốitượng: Cao đẳng Viễn thông * Thờilượng: 4 đvht -Lýthuyết : 46 tiết -Kiểm tra : 2 tiết - Thí nghiệm: 12 tiết * Điểm thành phần: -Chuyêncần : 10% -Kiểm tra : 10% - Thí nghiệm : 10% -Thikết thúc họcphần : 70% GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 2 BỘ MÔN KTĐT – KHOA KTĐT1 www.ptit.edu.vn 1
  2. BÀI GIẢNG MÔN ĐIỆN TỬ SỐ BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ NỘI DUNG ¾Chương 1: Hệđếm ¾Chương 2: Đạisố Boole ¾Chương 3: Cổng logic ¾Chương 4: Mạch logic tổ hợp ¾Chương 5: Mạch logic tuầntự ¾Chương 6: Mạch phát xung ¾Chương 7: Bộ nhớ bán dẫn GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 3 BỘ MÔN KTĐT – KHOA KTĐT1 BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ TÀI LIỆU HỌC TẬP -Tài liệu chính : Giáo trình Điệntử số, TrầnThị Thúy Hà – Đỗ Mạnh Hà, Họcviện CNBCVT, 2008 -Tàiliệuthamkhảo: 1. Giáo trình Kỹ thuậtsố -TrầnVăn Minh, NXB Bưu điện, 2002 2. Cơ sở kỹ thuật điệntử số - Đạihọc Thanh Hoa, Bắc Kinh, NXB Giáo dục, 1996 3. Kỹ thuậtsố - Nguyễn Thuý Vân, NXB Khoa họcvàkỹ thuật, 1994 4. Giáo trình kỹ thuậtsố - NguyễnViết Nguyên, NXB Giáo dục, 2004 5. Toán logic và kỹ thuậtsố - Nguyễn Nam Quân, Khoa ĐHTC, 2004 6. Cấutrúcmáyvitính–Trần Quang Vinh, NXB ĐHQG HN, 2005 7. Fundamentals of logic design, fourth edition, Charles H.Roth, Prentice Hall, 1991 8. Digital engineering design, Richard F.Tinder, Prentice Hall, 1991 GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 4 BỘ MÔN KTĐT – KHOA KTĐT1 www.ptit.edu.vn 2
  3. BÀI GIẢNG MÔN ĐIỆN TỬ SỐ BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ Chương 1 – HỆ ĐẾM 1.1. Biểudiễnsố 1.2. Chuyển đổicơ số giữacáchệđếm 1.3. Số nhị phân có dấu 1.4. Dấuphẩy động 1.5. Mộtsố mã nhị phân thông dụng GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 5 BỘ MÔN KTĐT – KHOA KTĐT1 BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ Biểudiễnsố * Nguyên tắc: Dùng một số hữu hạn các ký hiệu ghép với nhau theo qui ước về vị trí. - Số ký hiệu được dùng là cơ số của hệ. - Giá trị biểu diễn của các chữ khác nhau được phân biệt thông qua trọng số của hệ. * Biểu diễn tổng quát: n1−−− 1 0 1 m N=×++×+×+×++× an1−−− r a 1 r a 0 r a 1 r a m r −m i =×∑ ari n1− GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 6 BỘ MÔN KTĐT – KHOA KTĐT1 www.ptit.edu.vn 3
  4. BÀI GIẢNG MÔN ĐIỆN TỬ SỐ BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ Mộtsố hệđếm thông dụng Tên hệ đếm Số ký hiệu Cơ số (r) Hệ nhị phân (Binary) 0, 1 2 Hệ bát phân (Octal) 0, 1, 2, 3, 4, 5, 6, 7 8 Hệ thập phân (Decimal) 0, 1, 2, 3, 4, 5, 6, 7, 8, 9 10 Hệ thập lục phân 0, 1, 2, 3, 4, 5, 6, 7, 8, 9, 16 (Hexadecimal) A, B, C, D, E, F GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 7 BỘ MÔN KTĐT – KHOA KTĐT1 BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ 1.1.1. Hệ thập phân * Các ký hiệu: 0, 1, 2, 3, 4, 5, 6, 7, 8, 9 −m i * Biểu diễn số: Na1010=×∑ i n1− - Nếu chỉ có phần nguyên thì in10=( −÷) * Ưu điểm: - tính phổ biến (dễ nhận biết) - khả năng biểu diễn lớn (do có nhiều ký hiệu) - biểu diễn ngắn gọn, tốn ít thời gian ghi và đọc. * Nhược điểm: khó số hoá. GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 8 BỘ MÔN KTĐT – KHOA KTĐT1 www.ptit.edu.vn 4
  5. BÀI GIẢNG MÔN ĐIỆN TỬ SỐ BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ 1.1.2. Hệ nhị phân (1) * Các ký hiệu: 0,1 * Tổ chức hệ: - Đếm khởi đầu từ giá trị 0, sau đó cộng liên tiếp thêm 1 vào kết quả đếm trước theo quy tắc: 0 + 0 = 0, 1 + 0 = 1, 1 + 1 = 10 (102 = 210). −m i * Biểu diễn số: Na22i= ∑ × n1− GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 9 BỘ MÔN KTĐT – KHOA KTĐT1 BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ 1.1.2. Hệ nhị phân (2) * Các phép tính trong hệ nhị phân: - Phép cộng Qui tắc: 0 + 0 = 0 ; 1 + 0 = 1 ; 1 + 1 = 10 (102 = 210). - Phép trừ Qui tắc: 0 - 0 = 0 ; 1 - 1 = 0 ; 1 - 0 = 1 ; 0 - 1 = 1 (mượn 1) - Phép nhân Qui tắc: 0 x 0 = 0 ; 0 x 1 = 0 ; 1 x 0 = 0 ; 1 x 1 = 1 - Phép chia Thực hiện tương tự như phép chia số thập phân. * Ưu điểm : dễ thể hiện bằng các thiết bị cơ điện. * Nhược điểm : biểu diễn dài, tốn thời gian ghi đọc. * Một số định nghĩa : bit, byte, LSB, MSB, GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 10 BỘ MÔN KTĐT – KHOA KTĐT1 www.ptit.edu.vn 5
  6. BÀI GIẢNG MÔN ĐIỆN TỬ SỐ BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ 1.1.3. Hệ bát phân – Hệ cơ số 8 * Các ký hiệu: 0, 1, 2, 3, 4, 5, 6, 7 * Tổ chức hệ: −m i * Biểu diễn số: Na88i=×∑ n1− * Các phép tính trong hệ bát phân: Phép cộng: Qui tắc: khi kết quả cộng ở một cột lớn hơn hoặc bằng 8: lấy kết quả đó chia cho 8, phần dư ghi vào cột tổng tương ứng, nhớ 1 lên trọng số ở cột kế tiếp. - Phép trừ: Qui tắc: khi số bị trừ nhỏ hơn số trừ (ở một cột): mượn 1 ở cột kế tiếp (tương đương với cộng thêm 8 vào cột đó) rồi trừ. * Ưu điểm: - Biểu diễn ngắn gọn - Có thể dùng một từ nhị phân 3 bit để biểu thị các ký hiệu bát phân (vì 8 = 23) * Nhược điểm: các phép tính phức tạp. GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 11 BỘ MÔN KTĐT – KHOA KTĐT1 BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ 1.1.4. Hệ thậplụcphân–Hệ cơ số 16 * Các ký hiệu: 0, 1, 2, 3, 4, 5, 6, 7, 8, 9, A, B, C, D, E, F * Tổ chức hệ: −m i * Biểu diễn số: Na1616=×∑ i n1− * Các phép tính trong hệ thập lục phân: sgk * Ưu điểm: - Biểu diễn ngắn gọn - Có thể dùng một từ nhị phân 4 bit để biểu thị các ký hiệu thập lục phân (vì 16 = 24) * Nhược điểm: các phép tính phức tạp GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 12 BỘ MÔN KTĐT – KHOA KTĐT1 www.ptit.edu.vn 6
  7. BÀI GIẢNG MÔN ĐIỆN TỬ SỐ BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ Chương 1 – HỆ ĐẾM 1.1. Biểudiễnsố 1.2. Chuyển đổicơ số giữa các hệđếm 1.3. Số nhị phân có dấu 1.4. Dấuphẩy động 1.5. Mộtsố mã nhị phân thông dụng GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 13 BỘ MÔN KTĐT – KHOA KTĐT1 BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ 1.2.1. Chuyểntừ hệ thập phân sang các hệ khác * Phần nguyên: -Chialiêntiếpsố cần chuyển đổichocơ số củahệ cần chuyển đến, dừng chia khi thương bằng 0. -Viết đảongượctrậttự số dư sau mỗilầnchiađượckết quả. * Phần phân số: - Nhân liên tiếpphần phân số vớicơ số củahệ cầnchuyển đến, dừng nhân khi phầnphânsố triệt tiêu. -Viếttuầntự phầnnguyênthuđượcsaumỗilầnnhân đượckếtquả. * Ví dụ: GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 14 BỘ MÔN KTĐT – KHOA KTĐT1 www.ptit.edu.vn 7
  8. BÀI GIẢNG MÔN ĐIỆN TỬ SỐ BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ 1.2.2. Chuyểntừ các hệ khác sang hệ thập phân * Qui tắc: −m i - Sử dụng công thức : Na.r10= ∑ i in1=− - Thực hiện lấy tổng vế phải sẽ có kết quả cần tìm. - Trong biểu thức trên, ai là các ký hiệu và r cơ số của hệ cần chuyển đổi. * Ví dụ: GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 15 BỘ MÔN KTĐT – KHOA KTĐT1 BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ 1.2.3. Chuyểntừ hệ nhị phân sang hệ 8 và hệ 16 * Qui tắc: -Chiasố nhị phân cần đổi(kể từ dấuphẩythập phân) sang trái và phải thành từng nhóm 3 bit (hệ cơ số 8) hoặc4 bit (hệ cơ số 16). - Thay các nhóm bit đãchiabằng ký hiệutương ứng củahệ cần chuyểntới. * Ví dụ: GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 16 BỘ MÔN KTĐT – KHOA KTĐT1 www.ptit.edu.vn 8
  9. BÀI GIẢNG MÔN ĐIỆN TỬ SỐ BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ Chương 1 – HỆ ĐẾM 1.1. Biểudiễnsố 1.2. Chuyển đổicơ số giữacáchệđếm 1.3. Số nhị phân có dấu 1.4. Dấuphẩy động 1.5. Mộtsố mã nhị phân thông dụng GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 17 BỘ MÔN KTĐT – KHOA KTĐT1 BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ 1.3.1. Biểudiễnsố nhị phân có dấu(1) a. Sử dụng bit dấu: -Qui ước: Dùng mộtbit phụ, đứng trước các bit trị sốđể biểudiễndấu, ‘0’ chỉ dấudương (+), ‘1’ chỉ dấuâm(-). - Ưu điểm: dễ nhậnbiết, vì trị số củabiểudiễndương và âm là như nhau. -Nhược điểm: chỉ thích ứng vớihệ nhị phân. -Vídụ: GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 18 BỘ MÔN KTĐT – KHOA KTĐT1 www.ptit.edu.vn 9
  10. BÀI GIẢNG MÔN ĐIỆN TỬ SỐ BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ 1.3.1. Biểudiễnsố nhị phân có dấu(2) b. Sử dụng phép bù 1: nm− * Định nghĩa bù 1 (của số N): N21 =−− N2 n Nếu N chỉ có phần nguyên: N21 = −− N1 * Cách lấy bù 1: lấy đảo các bit cần lấy bù. * Qui ước: vẫn sử dụng MSB là bit dấu Số dương : bit dấu là ‘0’, giữ nguyên phần trị số Số âm : bit dấu là ‘1’, lấy bù 1 phần trị số * Ví dụ: GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 19 BỘ MÔN KTĐT – KHOA KTĐT1 BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ 1.3.1. Biểudiễnsố nhị phân có dấu(3) c. Sử dụng phép bù 2: n * Định nghĩa bù 2 (của số N): N2N2 = − * Cách lấy bù 2: - Cách 1: NN121=+ - Cách 2 (Phương pháp xen kẽ): Đi từ LSB đến MSB, giữ nguyên các bit cho đến khi gặp bit ‘1’ đầu tiên, lấy đảo các bit còn lại. * Qui ước: vẫn sử dụng MSB là bit dấu Số dương : bit dấu là ‘0’, giữ nguyên phần trị số Số âm : bit dấu là ‘1’, lấy bù 2 phần trị số * Ví dụ: GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 20 BỘ MÔN KTĐT – KHOA KTĐT1 www.ptit.edu.vn 10
  11. BÀI GIẢNG MÔN ĐIỆN TỬ SỐ BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ 1.3.2. Các phép cộng và trừ số nhị phân có dấu – theo bù 1 * Qui tắc: -Haisố dương: cộng như cộng nhị phân thông thường, kể cả bit dấu. -Haisố âm: biểudiễn chúng ở dạng bù 1 và cộng như cộng nhị phân, kể cả bit dấu. Bit tràn cộng vào kếtquả. Chú ý, kếtquả đượcviếtdướidạng bù 1. -Haisố khác dấuvàsố âm lớnhơn: cộng số dương vớibù1 của số âm. Kếtquả không có bit tràn và ở dạng bù 1. -Haisố khác dấuvàsố dương lớnhơn: cộng số dương vớibù1 củasố âm. Bit tràn đượccộng vào kếtquả. * Ví dụ: GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 21 BỘ MÔN KTĐT – KHOA KTĐT1 BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ 1.3.2. Các phép cộng và trừ số nhị phân có dấu – theo bù 2 * Qui tắc: -Haisố dương: cộng như cộng nhị phân thông thường. Kếtquả là dương. -Haisố âm: lấybù2 cả hai số hạng và cộng, kếtquảởdạng bù 2. -Haisố khác dấuvàsố dương lớnhơn: lấysố dương cộng vớibù 2 củasố âm. Kếtquả bao gồmcả bit dấu, bit tràn bỏđi. -Haisố khác dấuvàsố âm lớnhơn: số dương đượccộng vớibù 2 củasố âm, kếtquảởdạng bù 2 củasố dương tương ứng. Bit dấulà1. * Ví dụ: GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 22 BỘ MÔN KTĐT – KHOA KTĐT1 www.ptit.edu.vn 11
  12. BÀI GIẢNG MÔN ĐIỆN TỬ SỐ BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ Chương 1 – HỆ ĐẾM 1.1. Biểudiễnsố 1.2. Chuyển đổicơ số giữacáchệđếm 1.3. Số nhị phân có dấu 1.4. Dấuphẩy động 1.5. Mộtsố mã nhị phân thông dụng GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 23 BỘ MÔN KTĐT – KHOA KTĐT1 BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ Dấuphẩy động * Biểu diễn theo dấu phẩy động: 2.ME - số mũ E (phần đặc tính): độ dài (5- 20) bit. - phần định trị M (trường phân số): độ dài (8 đến 200) bit. Điều kiện chuẩn hoá: 1/2≤ M≤ 1 * Các phép toán với số nhị phân biểu diễn theo dấu phẩy động: Ex Ey X2= ( Mx ) ; Y2= ( My ) EExy+ EZ Tích: Z== X.Y 2( Mxy .M) = 2 M z EExy− Ew Thương: W== X/Y 2( Mxy /M) = 2 M w Muốn lấy tổng và hiệu, cần đưa các số hạng về cùng số mũ, sau đó số mũ của tổng và hiệu sẽ lấy số mũ chung, còn định trị của tổng và hiệu sẽ bằng tổng và hiệu các định trị. GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 24 BỘ MÔN KTĐT – KHOA KTĐT1 www.ptit.edu.vn 12
  13. BÀI GIẢNG MÔN ĐIỆN TỬ SỐ BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ Chương 1 – HỆ ĐẾM 1.1. Biểudiễnsố 1.2. Chuyển đổicơ số giữacáchệđếm 1.3. Số nhị phân có dấu 1.4. Dấuphẩy động 1.5. Mộtsố mã nhị phân thông dụng GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 25 BỘ MÔN KTĐT – KHOA KTĐT1 BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ 1.5.1. Mã nhị -thậpphânBCD (1) a. Mã BCD: mã hóa 10 ký hiệu thập phân bằng 4 bit nhị phân (1 đềcat). Từ số 10 trở lên: mỗi ký hiệu số được biểu thị ít nhất bằng 2 đềcat nhị phân. * Một số mã BCD thông dụng: - Mã BCD tự nhiên (N-BCD: Nature BCD hay BCD 8421): Các chữ số thập phân được nhị phân hoá theo trọng số: 23, 22, 21, 20 Có 6 tổ hợp dư, ứng với các số thập phân 10, 11, 12, 13, 14 và 15. - Mã BCD 7421, 5121, 2421 (mã Aiken), 4221 b. Mã dư 3: - Cộng thêm 3 vào mỗi tổ hợp mã NBCD - Chủ yếu dùng trong truyền dẫn GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 26 BỘ MÔN KTĐT – KHOA KTĐT1 www.ptit.edu.vn 13
  14. BÀI GIẢNG MÔN ĐIỆN TỬ SỐ BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ 1.5.1. Mã nhị -thậpphânBCD (2) Số thập Trọng số của mã BCD phân 8421 7421 5121 2421 4221 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0000 1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0001 2 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0 0010 3 0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1 0011 4 0 1 0 0 0 1 0 0 0 1 1 1 0 1 0 0 1000 5 0 1 0 1 0 1 0 1 1 0 0 0 1 0 1 1 0111 6 0 1 1 0 0 1 1 0 1 0 0 1 1 1 0 0 1100 7 0 1 1 1 1 0 0 0 1 0 1 0 1 1 0 1 1101 8 1 0 0 0 1 0 0 1 1 0 1 1 1 1 1 0 1110 9 1 0 0 1 1 0 1 0 1 1 1 1 1 1 1 1 1111 GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 27 BỘ MÔN KTĐT – KHOA KTĐT1 BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ 1.5.2. Các dạng mã nhị phân khác (1) a. Mã Gray (mã cách 1): -Các tổ hợp mã kề nhau chỉ khác nhau duy nhất 1 bit. - Không có tính trọng số. -Chỉ có thể giải mã thông qua bảng mã. Cũng tương tự như mã BCD, ngoài mã Gray chính còn có mã Gray dư-3. b. Mã Johnson (vòng xoắn): -Sử dụng 5 bit nhị phân để biểu diễn 10 ký hiệu thập phân. - Mã Johnson có số bit 1 tăng dần từ trái qua phải cho đến khi đầy, sau đó giảm dần bit 1. c. Mã vòng: -Sử dụng 10 bit nhị phân để biểu diễn 10 ký hiệu thập phân với các trọng số 9876543210. -Mỗi tổ hợp mã chỉ bao gồm một bit 1 chạy vòng từ phải qua trái. GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 28 BỘ MÔN KTĐT – KHOA KTĐT1 www.ptit.edu.vn 14
  15. BÀI GIẢNG MÔN ĐIỆN TỬ SỐ BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ 1.5.2. Các dạng mã nhị phân khác (2) Số Số nhị Mã dư Mã Mã Mã Mã vòng thập phân 3 Gray Gray Johnson phân Dư 3 0 0 0 0 0 0 0 1 1 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 1 0 0 0 1 0 1 0 0 0 0 0 1 0 1 1 0 1 0 0 0 0 0 0 0 0 0 0 0 0 1 0 2 0 0 1 0 0 1 0 1 0 0 1 1 0 1 1 1 1 1 0 0 0 0 0 0 0 0 0 0 1 0 0 3 0 0 1 1 0 1 1 0 0 0 1 0 0 1 0 1 1 1 1 0 0 0 0 0 0 0 0 1 0 0 0 4 0 1 0 0 0 1 1 1 0 1 1 0 0 1 0 0 1 1 1 1 0 0 0 0 0 0 1 0 0 0 0 5 0 1 0 1 1 0 0 0 0 1 1 1 1 1 0 0 1 1 1 1 1 0 0 0 0 1 0 0 0 0 0 6 0 1 1 0 1 0 0 1 0 1 0 1 1 1 0 1 0 1 1 1 1 0 0 0 1 0 0 0 0 0 0 7 0 1 1 1 1 0 1 0 0 1 0 0 1 1 1 1 0 0 1 1 1 0 0 1 0 0 0 0 0 0 0 8 1 0 0 0 1 0 1 1 1 1 0 0 1 1 1 0 0 0 0 1 1 0 1 0 0 0 0 0 0 0 0 9 1 0 0 1 1 1 0 0 1 1 0 1 1 0 1 0 0 0 0 0 1 1 0 0 0 0 0 0 0 0 0 GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 29 BỘ MÔN KTĐT – KHOA KTĐT1 BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ Kếtchương 1 ¾Biểudiễnsố và các phép tính số học trong các hệđếm (thập phân, nhị phân, cơ số 8, cơ số 16) ¾Chuyển đổicơ số giữacáchệđếm ¾Biểudiễnsố nhị phân có dấu và các phép tính số học(bù 1, bù 2) ¾Biểudiễn và các phép tính số họcvớidấuphẩy động ¾Các dạng mã nhị phân thông dụng (BCD, Gray, mã vòng, mã vòng xoắn) GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 30 BỘ MÔN KTĐT – KHOA KTĐT1 www.ptit.edu.vn 15
  16. BÀI GIẢNG MÔN ĐIỆN TỬ SỐ BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ Chương 2 – ĐẠI SỐ BOOLE 2.1. Đạisố Boole 2.2. Các phương pháp biểudiễn hàm Boole 2.3. Các phương pháp rút gọn hàm Boole GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 31 BỘ MÔN KTĐT – KHOA KTĐT1 BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ Ba phép toán cơ sở: - Là một tập hợp các đối tượng có hai trạng thái: có hoặc không, đúng hoặc sai, được biểu diễn bằng biến logic với hai giá trị 1(A) và 0 (A ). - Phép phủ định logic: fA( ) = A - Phép cộng logic (phép hoặc): fA,B( ) = A+ B - Phép nhân logic (phép và): fA,B( ) = A.BAB= A GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 32 BỘ MÔN KTĐT – KHOA KTĐT1 www.ptit.edu.vn 16
  17. BÀI GIẢNG MÔN ĐIỆN TỬ SỐ BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ 2.1.1. Các định lý cơ bản STT Tên gọi Dạng tích Dạng tổng 1 Đồng nhất X.1 = X X + 0 = X 2 Phần tử 0, 1 X.0 = 0 X + 1 = 1 3 Bù X.X= 0 XX1+ = 4 Bất biến X.X = X X + X = X 5 Hấp thụ X + X.Y = X X.(X + Y) = X 6 Phủ định đúp XX= 7 Định lý DeMorgan (X.Y.Z ) = X+++ Y Z (X+++ Y Z ) = X.Y.Z GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 33 BỘ MÔN KTĐT – KHOA KTĐT1 BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ 2.1.2. Các định luậtcơ bản - Hoán vị: X.Y= Y.X XYYX+=+ - Kết hợp: X.( Y.Z) = ( X.Y) .Z XYZXYZ++=++( ) ( ) -Phân phối: X.( Y+= Z) X.Y + X.Z (XY.XZ++=+) ( ) XY.Z - Nhất quán: nếu XYY+ = thì X.Y= X GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 34 BỘ MÔN KTĐT – KHOA KTĐT1 www.ptit.edu.vn 17
  18. BÀI GIẢNG MÔN ĐIỆN TỬ SỐ BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ 2.1.3. Ba quy tắcvềđẳng thức ¾ Quy tắc thay thế: trong bấtkỳđẳng thức logic nào, nếu thay mộtbiếnbằng một hàm thì đẳng thứcvẫn đượcthiết lập. ¾Quy tắc tìm hàm đảo: Phép đảocủa hàm sốđượcthực hiệnbằng cách đổidấu nhân thành dấucộng và ngượclại; đổi 0 thành 1 và ngượclại; đổi nguyên biến thành đảobiến và ngượclại. Giữ nguyên dấu đảocủa hàm nhiềubiến, tuân thủ nguyên tắc “nhân trước, cộng sau”. ¾Quy tắc đốingẫu: Hàm F và F’ đượcgọilàđốingẫuvới nhau khi các dấucộng và dấu nhân, các số 0 và số 1 được đổichỗ cho nhau mộtcáchtương ứng. GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 35 BỘ MÔN KTĐT – KHOA KTĐT1 BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ Chương 2 – ĐẠI SỐ BOOLE 2.1. Đạisố Boole 2.2. Các phương pháp biểudiễn hàm Boole 2.3. Các phương pháp rút gọn hàm Boole GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 36 BỘ MÔN KTĐT – KHOA KTĐT1 www.ptit.edu.vn 18
  19. BÀI GIẢNG MÔN ĐIỆN TỬ SỐ BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ 2.2.1. Bảng trạng thái (1) - Bảng trạng thái gồm ABCfm M các cột, liệt kê giá trị 000 (trạng thái) mỗi biến theo từng cột và giá trị 001 hàm theo một cột riêng 010 (thường là bên phải 011 bảng). - Bảng trạng thái còn 100 được gọi là bảng sự 101 thật hay bảng chân lý. 110 - Hàm n biến sẽ có 2n bộ giá trị. 111 GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 37 BỘ MÔN KTĐT – KHOA KTĐT1 BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ 2.2.1. Bảng trạng thái (2) n - Hạng tích (minterm): ký hiệu mi, với i = 0 đến 2 -1, là các tổ hợp gồm tích các biến, trong đó: Giá trị ‘1’ được biểu diễn bằng nguyên biến (biến trực tiếp) Giá trị ‘0’ được biểu diễn bằng đảo biến (biến phủ định) n - Hạng tổng (Maxterm): ký hiệu Mi, với i = 0 đến 2 -1, là các tổ hợp gồm tổng các biến, trong đó: Giá trị ‘0’ được biểu diễn bằng nguyên biến (biến trực tiếp) Giá trị ‘1’ được biểu diễn bằng đảo biến (biến phủ định) - Mối quan hệ giữa minterm và Maxterm: mM= ii mMii= GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 38 BỘ MÔN KTĐT – KHOA KTĐT1 www.ptit.edu.vn 19
  20. BÀI GIẢNG MÔN ĐIỆN TỬ SỐ BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ 2.2.2. Biểuthức đạisố (1) - Có 2 dạng biểu diễn: Dạng tuyển (tổng các tích): Mỗi số hạng là một hạng tích hay minterm (mi). Dạng hội (tích các tổng): Mỗi thừa số là một hạng tổng hay Maxterm (Mi). - Nếu trong tất cả các hạng tích hay hạng tổng có đủ mặt các biến thì dạng tổng các tích hay dạng tích các tổng tương ứng được gọi là dạng chuẩn. Dạng chuẩn là duy nhất. 21n − - Biểu diễn hàm dưới dạng tổng các tích: fX()n1− , ,X 0= ∑ am i i i0= 21n − - Biểu diễn hàm dưới dạng tích các tổng: f() Xn1− , ,X 0=+∏ () a i M i i0= Với ai chỉ nhận hai giá trị 0 và 1. GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 39 BỘ MÔN KTĐT – KHOA KTĐT1 BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ 2.2.2. Biểuthức đạisố (2) * Chuẩnhoáhàmvề dạng chuẩntắctuyển: - Thêm các biếncònthiếuvàocáchạng tích mà không làm ảnh hưởng đếnkếtquả bằng cách nhân hạng tích đóvới ‘1’ (tổng của nguyên biếnvàđảobiếncònthiếu). -Loạibỏ các hạng tích lặplại(hạng tích thừa). * Chuẩnhoáhàmvề dạng chuẩntắchội: - Thêm các biếncònthiếuvàocáchạng tổng mà không làm ảnh hưởng đếnkếtquả bằng cách cộng hạng tổng đóvới ‘0’ (tích của nguyên biếnvàđảobiếncònthiếu). -Loạibỏ các hạng tổng lặplại(hạng tổng thừa). GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 40 BỘ MÔN KTĐT – KHOA KTĐT1 www.ptit.edu.vn 20
  21. BÀI GIẢNG MÔN ĐIỆN TỬ SỐ BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ 2.2.3. Bảng Karnaugh (1) ¾ Tổ chứccủabảng Karnaugh: BC –Cáctổ hợpbiến đượcviết theo một 00 01 11 10 dòng (thường là phía trên) và một A cột(thường là bên trái). 0 – Hàm logic n biếncó2n ô. 1 –Mỗi ô thể hiệnmộthạng tích hay mộthạng tổng, các hạng tích trong hai ô kế cận chỉ khác nhau một CD biến. 00 01 11 10 AB ¾ Tính tuần hoàn củabảng Các nô: – Không những các ô kế cậnkhác 00 nhau mộtbiến mà các ô đầudòng 01 và cuối dòng, đầucột và cuốicột cũng chỉ khác nhau mộtbiến (kể cả 11 4 góc vuông củabảng). Bởivậy các 10 ô này cũng gọilàkế cận. GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 41 BỘ MÔN KTĐT – KHOA KTĐT1 BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ 2.2.3. Bảng Karnaugh (2) ¾Thiếtlậpbảng Các nô củamột f( A,B,C) = ( 3,4,5,6,7) hàm: 1 ∑ -Dướidạng tổng các tích: ghi giá BC trị 1 vào các ô ứng vớihạng tích 00 01 11 10 có mặt trong biểudiễn, các ô còn A lạilấygiátrị 0. 0 -Dướidạng tích các tổng: ghi giá 1 trị 0 vào các ô ứng vớihạng tổng có mặt trong biểudiễn, các ô còn fA,B,C= 0,1,2 lạilấygiátrị 1. 2 ( ) ∏( ) -Có thể tồntạimộtsố hạng tích BC hay hạng tổng mà tại đóhàm 00 01 11 10 không xác định (có thể lấygiátrị ‘1’ A hoặc‘0’). Cácgiátrị này gọilàcác 0 giá trị tuỳ chọn(x). 1 GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 42 BỘ MÔN KTĐT – KHOA KTĐT1 www.ptit.edu.vn 21
  22. BÀI GIẢNG MÔN ĐIỆN TỬ SỐ BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ Chương 2 – ĐẠI SỐ BOOLE 2.1. Đạisố Boole 2.2. Các phương pháp biểudiễn hàm Boole 2.3. Các phương pháp rút gọn hàm Boole GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 43 BỘ MÔN KTĐT – KHOA KTĐT1 BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ 2.3.1. Phương pháp đạisố * Quy tắc: -Dựa vào các định lý đãhọc để đưabiểuthứcvề dạng tối giản. -Thường áp dụng cho những trường hợp hàm cho trướccó ít biếnhoặc đơngiản. * Ví dụ: GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 44 BỘ MÔN KTĐT – KHOA KTĐT1 www.ptit.edu.vn 22
  23. BÀI GIẢNG MÔN ĐIỆN TỬ SỐ BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ 2.3.2. Phương pháp bảng Karnaugh (1) * Quy tắc: Các bước tối thiểu hóa (cho hàm dạng tổng các tích): CD - Biểu diễn hàm đã cho trên bảng 00 01 11 10 Karnaugh AB 00 11 - Gộp các ô kế cận có giá trị ‘1’ (hoặc ‘0’) 01 11 lại thành từng nhóm 2, 4, , 2i các ô. 11 1111 - Thay mỗi nhóm bằng một hạng tích mới, 10 11 trong đó giữ lại các biến giống nhau theo hàng và cột. f1 = AB f2 = C - Cộng các hạng tích mới, được hàm đã tối giản. GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 45 BỘ MÔN KTĐT – KHOA KTĐT1 BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ 2.3.2. Phương pháp bảng Karnaugh (2) * Chú ý: - Số ô trong mỗi nhóm càng lớn kết quả thu được càng tối giản. Gộp 2i các ô lân cận, rút gọn được i biến. - Một ô có thể được gộp nhiều lần trong các nhóm khác nhau. Mỗi nhóm phải có ít nhất một ô mới (ô chưa được gộp trong các nhóm khác). - Nếu gộp theo các ô có giá trị ‘0’ ta sẽ thu được biểu thức bù của hàm. - Với các giá trị tuỳ chọn, chọn ‘x’ bằng ‘1’ hoặc ‘0’ để số ô gộp được là tối đa. GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 46 BỘ MÔN KTĐT – KHOA KTĐT1 www.ptit.edu.vn 23
  24. BÀI GIẢNG MÔN ĐIỆN TỬ SỐ BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ 2.3.3. Phương pháp Quine Mc. Cluskey * Quy tắc: -Lậpbảng liệtkêcáchạng tích dướidạng nhị phân theo từng nhóm với số bit ‘1’ giốngnhauvàxếptheothứ tự số bit ‘1’ tăng dần. -Gộp2 hạng tích (củamỗicặp nhóm) chỉ khác nhau mộtbit để tạocác nhóm mới. Trong mỗi nhóm mới, giữ nguyên các biếngiống nhau, biến khác nhau thay bằng mộtdấu ngang (-). Lặplạichođến khi trong các nhóm tạothànhkhôngcònkhả năng gộp nữa. Mỗilầnrútgọn, đánh dấu# vàocáchạng ghép cặp được. -Tậphợpcáchạng tích không đượcrútgọn (không đánh dấu#) để lựa chọnbiểuthứctốigiản theo nguyên tắc sau: Đánh dấu ‘x’ vào các hạng tích tương ứng vớicáchạng tích trong bảng lựachọn(dấu (-) có thể bằng ‘0’ hoặc‘1’). Chỉ quan tâm đếncáccộtcómộtdấu‘x’. Biểuthứctốigiảnlàtổng của các hạng tích tương ứng vớicáccột này. Trong các hạng tích đó, bỏđi các biến ương ứng vớidấu (-). GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 47 BỘ MÔN KTĐT – KHOA KTĐT1 BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ Kếtchương 2 ¾Các định lý, định luậtcơ bản trong đạisố Boole ¾Các phương pháp biểudiễn hàm Boole: bảng trạng thái, biểuthức đạisố, bảng Karnaugh ¾Các phương pháp rút gọn hàm Boole: phương pháp đại số, phương pháp bảng Karnaugh, phương pháp Quine Mc. Cluskey GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 48 BỘ MÔN KTĐT – KHOA KTĐT1 www.ptit.edu.vn 24
  25. BÀI GIẢNG MÔN ĐIỆN TỬ SỐ BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ Chương 3 – CỔNG LOGIC 3.1. Cổng logic và các tham số chính 3.2. Các họ cổng logic 3.3. Giao tiếpgiữacáchọ cổng logic 3.4. Mộtsố lưuý khisử dụng IC số GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 49 BỘ MÔN KTĐT – KHOA KTĐT1 BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ 3.1.1. Cổng logic cơ bản(1) –Cổng AND * Biểu thức: fA,B()= A.B f() A,B,C,D, = A.B.C.D * Ký hiệu: Bảng trạng thái cổng AND 2 lối vào AB f AB f A A f & f 000 LLL B B 010 LHL ANSI IEEE 100 HLL 111 HHH Theo giá trị logic Theo mứclogic GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 50 BỘ MÔN KTĐT – KHOA KTĐT1 www.ptit.edu.vn 25
  26. BÀI GIẢNG MÔN ĐIỆN TỬ SỐ BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ 3.1.1. Cổng logic cơ bản(2) –Cổng OR * Biểu thức: fA,B()=+ A B f() A,B,C,D = A++++ B C D * Ký hiệu: Bảng trạng thái cổng OR 2 lối vào A AB f AB f A ≥1 f B f B 000 LLL 011 LHH ANSI IEEE 101 HLH 111 HHH Theo giá trị logic Theo mứclogic GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 51 BỘ MÔN KTĐT – KHOA KTĐT1 BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ 3.1.1. Cổng logic cơ bản(3) –Cổng NOT * Biểu thức: fA= Bảng trạng thái cổng NOT * Ký hiệu: Af Af A A A 1 A 01 LH 10 HL A A A 1 A Theo giá trị logic Theo mứclogic ANSI IEEE GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 52 BỘ MÔN KTĐT – KHOA KTĐT1 www.ptit.edu.vn 26
  27. BÀI GIẢNG MÔN ĐIỆN TỬ SỐ BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ 3.1.2. Logic dương và logic âm - Logic dương: điệnthế mức cao (H) luôn lớnhơn điệnthế mứcthấp(L). - Logic âm: điệnthế mức cao (H) luôn nhỏ hơn điệnthế mứcthấp(L). V H 0 0 1 1 0 0 1 0 1 1 1 0 0 1 L t 0 V Logic dương L 1 1 0 0 1 1 0 1 0 0 0 1 1 0 H t 0 Logic âm GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 53 BỘ MÔN KTĐT – KHOA KTĐT1 BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ 3.1.3. Mộtsố cổng ghép thông dụng (1) – Cổng NAND * Sơ đồ: * Bảng trạng thái: A AB fAB= B A B f fAB= 0 0 1 * Biểu thức: f= ABCD 0 1 1 * Ký hiệu: 1 0 1 1 1 0 A A f & f B B ANSI IEEE GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 54 BỘ MÔN KTĐT – KHOA KTĐT1 www.ptit.edu.vn 27
  28. BÀI GIẢNG MÔN ĐIỆN TỬ SỐ BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ 3.1.3. Mộtsố cổng ghép thông dụng (2) – Cổng NOR * Sơ đồ: * Bảng trạng thái: A AB+ AB+ B A B f * Biểu thức: 0 0 1 fAB=+ 0 1 0 1 0 0 f=++++ A B C D 1 1 0 * Ký hiệu: A A f ≥1 f B B ANSI IEEE GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 55 BỘ MÔN KTĐT – KHOA KTĐT1 BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ 3.1.3. Mộtsố cổng ghép thông dụng (3) – Cổng XOR * Sơ đồ: * Bảng trạng thái: A AB A B f fABAB= + 0 0 0 B AB 0 1 1 1 0 1 * Biểu thức: fABABAB=+=⊕ 1 1 0 * Ký hiệu: A A f =1 f B B * Hàm XOR nhiều biến: - Số bit ‘1’ trên các lối vào lẻ: f'1'= ANSI IEEE - Số bit ‘1’ trên các lối vào chẵn: f'0'= fABC = ⊕⊕⊕ GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 56 BỘ MÔN KTĐT – KHOA KTĐT1 www.ptit.edu.vn 28
  29. BÀI GIẢNG MÔN ĐIỆN TỬ SỐ BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ 3.1.3. Mộtsố cổng ghép thông dụng (4) – Cổng XOR Một số tính chất của hàm XOR: 1. Luật giao hoán: ABBA⊕ =⊕ 2. Luật kết hợp: (A⊕ B)⊕=⊕ C A (B ⊕ C) 3. Luật phân phối: A(B⊕ C)=⊕ A.B A.C 4. Các phép toán của biến và hằng số: A1A⊕ = AA0⊕ = A0A⊕ = AA1⊕ = 5. Luật đổi chỗ nhân quả : Nếu ABC⊕= thì ACBvàBCA⊕ =⊕= GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 57 BỘ MÔN KTĐT – KHOA KTĐT1 BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ 3.1.3. Mộtsố cổng ghép thông dụng (5) – Cổng XNOR * Sơ đồ: * Bảng trạng thái: A AB B A B f fABAB= + 0 0 1 AB 0 1 0 * Biểu thức: 1 0 0 fABABABA~B=+=⊕= 1 1 1 * Ký hiệu: * Hàm XNOR nhiều biến: A A =1 - Số bit ‘1’ trên các lối vào lẻ: f'0'= f f B B - Số bit ‘1’ trên các lối vào chẵn: f'1'= ANSI IEEE f= A⊕⊕⊕ B C GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 58 BỘ MÔN KTĐT – KHOA KTĐT1 www.ptit.edu.vn 29
  30. BÀI GIẢNG MÔN ĐIỆN TỬ SỐ BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ 3.1.4. Tính đachứcnăng củacổng NAND, NOR ¾Từ cổng NAND tạo đượccáccổng: -NOT -AND -OR -NOR -XOR ¾Từ cổng NOR tạo đượccáccổng: -NOT -OR -AND -NAND -XNOR GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 59 BỘ MÔN KTĐT – KHOA KTĐT1 BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ 3.1.5. Các tham số chính (1) – Mứclogic ¾Mức logic: là mức điệnthế trên đầuvàovàđầuracủacổng tương ứng với logic "1" và logic "0“ ¾Mức logic phụ thuộc điệnthế nguồn nuôi củacổng GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 60 BỘ MÔN KTĐT – KHOA KTĐT1 www.ptit.edu.vn 30
  31. BÀI GIẢNG MÔN ĐIỆN TỬ SỐ BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ 3.1.5. Các tham số chính (2) – Độ chống nhiễu ¾Độ chống nhiễu (hay độ phòng vệ nhiễu) là mứcnhiễulớnnhấttác động tới đầu vào hoặc đầura củacổng mà chưa làm thay đổitrạng thái vốncócủanó. ¾Là tiêu chuẩn đánh giá độ nhạycủamạch logic đốivớitạp âm xung trên đầuvàovimạch. ¾Có thể chia thành hai trường hợp: nhiễumức cao và nhiễumứcthấp. GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 61 BỘ MÔN KTĐT – KHOA KTĐT1 BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ 3.1.5. Các tham số chính (3) – Hệ số mắctải ¾Hệ số mắctải cho biếtkhả năng nối được bao nhiêu đầuvàotới đầura củamộtcổng đãchomàvẫn đảmbảosự hoạt động tin cậy, đảmbảo tốc độ, giớihạnvề nhiệt độ và các tham số khác. ¾Hệ số mắctảiphụ thuộc dòng ra (hay dòng phun) củacổng chịutảivà dòng vào (hay dòng hút) củacáccổng tải ở cả hai trạng thái H, L. GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 62 BỘ MÔN KTĐT – KHOA KTĐT1 www.ptit.edu.vn 31
  32. BÀI GIẢNG MÔN ĐIỆN TỬ SỐ BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ 3.1.5. Các tham số chính (4) – Công suấttiêuthụ ¾ Công suất tiêu tán: - Đây là tiêu chuẩn để đánh giá lượng công suấttiêuthụ (tổn hao) trên các phầntử trong vi mạch. Công suất tiêu hao thường cỡ vài mW đốivớimộtvi mạch số và là giá trị trung bình giữa công suất tiêu tán.khi đầuraở mức 0, 1 (Các công suất này thường khác nhau). - Công suất tiêu tán càng nhỏ càng tốt và có ý nghĩa đặcbiệt quan trọng trong các thiếtbị xách tay hay các thiếtbị dùng pin. ¾Công suất điềukhiển: là công suấtcủa tín hiệu điềukhiển ởđầu vào sao cho mạch vẫnhoạt động tốt. Công suất điềukhiển càng nhỏ càng tốt. GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 63 BỘ MÔN KTĐT – KHOA KTĐT1 BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ 3.1.5. Các tham số chính (5) – Trễ truyền đạt - Trễ truyền đạt là khoảng thời gian để đầu ra của mạch có đáp ứng khi có sự thay đổi mức logic của đầu vào. - Trễ truyền đạt là tiêu chuẩn để đánh giá tốc độ làm việc của mạch. Trễ truyền đạt càng nhỏ thì càng tốt tương ứng với tốc độ làm việc càng lớn càng tốt. - Trễ truyền đạt thường được tính toán ở điểm 50% biên độ trên các sườn trước và sườn sau tương ứng giữa xung vào và xung ra. tt+ - Trễ truyền đạt trung bình được tính theo công thức: t = PHL PLH pd 2 Vào Ra tPHL tPLH GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 64 BỘ MÔN KTĐT – KHOA KTĐT1 www.ptit.edu.vn 32
  33. BÀI GIẢNG MÔN ĐIỆN TỬ SỐ BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ Chương 3 – CỔNG LOGIC 3.1. Cổng logic và các tham số chính 3.2. Các họ cổng logic 3.3. Giao tiếpgiữacáchọ cổng logic 3.4. Mộtsố lưuý khisử dụng IC số GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 65 BỘ MÔN KTĐT – KHOA KTĐT1 BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ 3.2.1. Họ DDL (1) ¾Sơđồcổng AND, OR hai đầu vào: ¾Nguyên lý hoạt động: GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 66 BỘ MÔN KTĐT – KHOA KTĐT1 www.ptit.edu.vn 33
  34. BÀI GIẢNG MÔN ĐIỆN TỬ SỐ BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ 3.2.1. Họ DDL (2) ¾Bảng trạng thái: ¾Ưu điểm: mạch điện đơngiản, dễ tạoracáccổng AND, OR nhiềulối vào, công suấttiêuthụ nhỏ, tầnsố công tác cao. ¾Nhược điểm: độ phòng vệ nhiễuthấp, hệ số mắctảinhỏ. GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 67 BỘ MÔN KTĐT – KHOA KTĐT1 BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ 3.2.2. Họ RTL ¾Chỉ dùng các loại transistor và điệntrở. ¾Hiện nay họ RTL không còn tồntạivìcáchọ logic khác có công suấttiêuthụ nhỏ hơnvàđộ chống nhiễucaohơn. Cổng NOT họ RTL Cổng NOR họ RTL GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 68 BỘ MÔN KTĐT – KHOA KTĐT1 www.ptit.edu.vn 34
  35. BÀI GIẢNG MÔN ĐIỆN TỬ SỐ BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ 3.2.3. Họ DTL ¾Gồmcácđiệntrở, diode, transsistor. ¾Độ chống nhiễuvàhệ số ghép tảicaohơnhọ DDL và RTL. GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 69 BỘ MÔN KTĐT – KHOA KTĐT1 BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ 3.2.4. Họ TTL (1) – Cổng NAND TTL * Mạch điện: gồm 3 phần: - Mạch vào: T1 và R1 - Mạch giữa: T2 và R2, R3 - Mạch ra: T3, T4, T5 và R4, R5. * Nguyên lý hoạt động: GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 70 BỘ MÔN KTĐT – KHOA KTĐT1 www.ptit.edu.vn 35
  36. BÀI GIẢNG MÔN ĐIỆN TỬ SỐ BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ 3.2.4. Họ TTL (2) – Cổng TTL có diode Schottky ¾Độ sâu bão hòa củaT (thờigiantồntrữđiện tích): ảnh hưởng đếntốc độ đóng mở T ¾Hạnchếđộsâu bão hòa: sử dụng bán dẫn Schottky ¾Ưu điểm: rút ngắn đượcthờigiantruyền đạt trung bình (<10ns), tầnsố công tác cao, công suấttiêuthụ nhỏ ¾Nhược điểm: năng lựcchống nhiễukém c b e GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 71 BỘ MÔN KTĐT – KHOA KTĐT1 BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ 3.2.4. Họ TTL (3) – Cổng TTL hở collector ¾Cho phép nốitrựctiếp đầuracủacáccổng với nhau ¾Khi hoạt động: nối thêm điệntrở gánh từ collector để hởđến dương nguồn ¾Sơđồcổng NAND hở collector: ¾Ký hiệucổng: VO VI GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 72 BỘ MÔN KTĐT – KHOA KTĐT1 www.ptit.edu.vn 36
  37. BÀI GIẢNG MÔN ĐIỆN TỬ SỐ BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ 3.2.4. Họ TTL (4) – Cổng TTL ba trạng thái ¾Mạch điện: +5V ¾Nguyên lý hoạt động: 10k 100k D Di T3 Do T2 100k E T1 ¾Bảng trạng thái: E Di DO 0 x Trở kháng cao ¾Ký hiệu: Di Do 1 0 0 E 1 1 1 GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 73 BỘ MÔN KTĐT – KHOA KTĐT1 BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ 3.2.4. Họ TTL (5) – Mộtsố mạch Transistor khác ¾Họ HTL (HIGH THRESHOLD – LOGIC) - vi mạch số mức ngưỡng cao: sử dụng nguồn15V để tăng khả năng chống nhiễu, phù hợpvớicácthiếtbịđiềukhiểncủa công nghiệp (không cầntốc độ quá cao nhưng cần độ tin cậy cao). ¾Họ ECL (EMITTER COUPLED LOGIC) - vi mạch số ghép emitter: tốc độ hoạt động nhanh nhất, dùng trong các ứng dụng yêu cầutốc độ cao. Đặc điểm: thờigianđóng/mở ngắn, khả năng chịutảilớn, tạpâmnộibộ thấp, mứctạp âm cho phép nhỏ, tiêu hao công suấtlớn, mức điệnáprathayđổi theo nhiệt độ. ¾Họ I2L – vi mạch số tích hợp phun: mức độ tích hợpkhoảng 500 cổng/1mm2. Đặc điểm: đơngiản, điệnápthấp, dòng cựcnhỏ, mức độ tích hợp cao, tốc độ đóng/mở khá thấp, biên độ điệnáp ra nhỏ. GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 74 BỘ MÔN KTĐT – KHOA KTĐT1 www.ptit.edu.vn 37
  38. BÀI GIẢNG MÔN ĐIỆN TỬ SỐ BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ 3.2.5. Họ MOSFET (1) – Đặc điểm ¾Sử dụng các transistor IGFET (MOSFET) ¾Cổng CMOS rấtnhạyvớitrạng thái tĩnh điện, có thể bị hỏng khi gặp điệnápcaovàcóthểởbấtkỳ trạng thái nào nếucácđầu vào để hở. ¾Công suấttiêuthụ nhỏ hơncáccổng TTL tương đương, công suấttăng theo tầnsố tín hiệu. ¾Dải nguồn nuôi từ 3 đến 15 V ¾Dảitầnsố làm việcthấphơn TTL do điện dung tại đầuvàocủa cổng MOSFET GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 75 BỘ MÔN KTĐT – KHOA KTĐT1 BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ 3.2.5. Họ MOSFET (2) – PMOS G_ ¾Dùng MOSFET có kênh dẫnloạiP. S D ¾G_: tín hiệukíchthíchcócực tính âm mứcthấp tác động thì FET thông. PP N VDD VDD S A A G Q1 G Q1 f = A B S G Q2 G f = A+B Q2 G Q3 VSS NOT V NOR SS GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 76 BỘ MÔN KTĐT – KHOA KTĐT1 www.ptit.edu.vn 38
  39. BÀI GIẢNG MÔN ĐIỆN TỬ SỐ BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ 3.2.5. Họ MOSFET (3) – NMOS G+ ¾Dùng MOSFET có kênh dẫnloạiN. S D ¾G+: tín hiệukíchthíchcócực tính âm mức thấptácđộng thì FET thông. NN V DD P VDD Q3 Q2 f f Q2 Q1 A B V Q1 NOT SS A VSS NAND GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 77 BỘ MÔN KTĐT – KHOA KTĐT1 BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ 3.2.5. Họ MOSFET (4) – CMOS ¾ Sử dụng kếthợp hai loại MOSFET kênh P và kênh N, giảm công suấttiêuthụ củamạch. VDD VDD Q1 Q3 Q4 f A f Q2 Q2 B V ss A Q1 NOT V NAND ss GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 78 BỘ MÔN KTĐT – KHOA KTĐT1 www.ptit.edu.vn 39
  40. BÀI GIẢNG MÔN ĐIỆN TỬ SỐ BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ 3.2.5. Họ MOSFET (5) – Cổng truyềndẫn ¾Cổng truyềndẫn hay còn gọilà chuyểnmạch hai chiều cung cấptrạng thái chuyểnmạch bật/tắtchocácloại tín hiệu điện (tương tự và số). ¾Gồm 2 transistor N-MOS và P- MOS kênh cảm ứng mắc song C song với nhau. ¾Ứng dụng: mạch tạo xung, mạch đếm, ghi dich, vi xử lý, bộ nhớ, chuyểnmạch tương tự GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 79 BỘ MÔN KTĐT – KHOA KTĐT1 BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ Chương 3 – CỔNG LOGIC 3.1. Cổng logic và các tham số chính 3.2. Các họ cổng logic 3.3. Giao tiếpgiữa các họ cổng logic 3.4. Mộtsố lưuý khisử dụng IC số GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 80 BỘ MÔN KTĐT – KHOA KTĐT1 www.ptit.edu.vn 40
  41. BÀI GIẢNG MÔN ĐIỆN TỬ SỐ BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ 3.3.1. Mộtsốđặc điểm khi ghép nối(1) –Vớicổng TTL ¾Tấtcả các đầuvàocủacổng TTL để hở sẽ hoạt động như mức logic 1, trường hợp này gọilàthả nổi đầuvào. ¾Khi không sử dụng một đầu vào nào đócủacổng thì ta phảinốinóvới đấthoặcdương nguồn sao cho chức năng củacổng không bị thay đổi. ¾Không đượcnốitrựctiếphaiđầuracủahaicổng TTL với nhau, trong trường hợp này phảisử dụng cổng collector để hở -OC. GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 81 BỘ MÔN KTĐT – KHOA KTĐT1 BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ 3.3.1. Mộtsốđặc điểm khi ghép nối(2) –Vớicổng MOS ¾Không được phép thả nổicácđầu vào không sử dụng, phảinối chúng với đấthoặcdương nguồnhoặc đầukhác sao cho chứcnăng củacổng không bị thay đổi. ¾Điệntrởđầu vào cao gây nên hiệntượng tích tụ hạttĩnh điện, dẫn đếnphátsinhđiệnthế có thểđủlớn để đánh thủng lớp điệnmôimỏng giữacực G và kênh dẫn. Do vậyngườitachế tạolưới diode điệntrởởđầuvàonhằm bảovệ transistor. ¾Điệntrởđầurathường nhỏ nên tốc độ chuyểnmạch tương đối nhanh. GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 82 BỘ MÔN KTĐT – KHOA KTĐT1 www.ptit.edu.vn 41
  42. BÀI GIẢNG MÔN ĐIỆN TỬ SỐ BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ 3.3.2. Giao tiếpgiữa TTL vớiCMOS ¾Cùng điệnápcung ¾Khác điện áp cung cấp5V: cấp: + 5V + 12V + 5V 6,8k Rp TTL hở mạch Điều khiển Tải Tải Collector TTL CMOS CMOS GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 83 BỘ MÔN KTĐT – KHOA KTĐT1 BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ 3.3.3. Giao tiếpgiữaCMOS với TTL ¾Cùng điệnáp + 5V Tầng đệm cung cấp5V: CMOS Điều khiển TảiTTL CMOS ¾Khác điệnáp + 12V + 5V Tầng đệm cung cấp: CMOS Điều khiển TảiTTL CMOS GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 84 BỘ MÔN KTĐT – KHOA KTĐT1 www.ptit.edu.vn 42
  43. BÀI GIẢNG MÔN ĐIỆN TỬ SỐ BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ Chương 3 – CỔNG LOGIC 3.1. Cổng logic và các tham số chính 3.2. Các họ cổng logic 3.3. Giao tiếpgiữacáchọ cổng logic 3.4. Mộtsố lưuý khisử dụng IC số GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 85 BỘ MÔN KTĐT – KHOA KTĐT1 BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ 3.4.1. Phân loạiIC theomật độ tích hợp • SSI: Small Scale Integration - Độ tích hợpnhỏ: số cổng logic < 10 • MSI: Medium Scale Integration - Độ tích hợp trung bình: 10 < số cổng logic < 100 • LSI: Large Scale Integration - Độ tích hợplớn: 100 < số cổng logic < 1000 • VLSI: Very Large Scale Integration - Độ tích hợprấtlớn • ULSI: Ultra Large Scale Integration - Độ tích hợpcựclớn • SLSI: Super Large Scale Integration - Độ tích hợpsiêulớn GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 86 BỘ MÔN KTĐT – KHOA KTĐT1 www.ptit.edu.vn 43
  44. BÀI GIẢNG MÔN ĐIỆN TỬ SỐ BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ 3.4.2. Ký hiệuvỏ IC TTL CMOS . . 74 . . x x x . : mục đích thương mại . . 14 . . x x x . . . 54 . . x x x . : mục đích quân sự . . 4 . . x x x . ¾Mỗidấuchấm ( . ) thay cho mộtchữ cái: •Haichữ cái đầu: tên hãng sảnxuất •Haichữ cái giữa: đặc điểmcấutrúcvàtínhnăng •Chữ cái cuối: kếtcấuvỏ ¾Các dấu‘x’: làtậphợpsố từ 0 đến999, chobiếtchứcnăng logic củaIC. ¾VD: SN 74 LS 00 J GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 87 BỘ MÔN KTĐT – KHOA KTĐT1 BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ 3.4.3. Đóng vỏ IC ¾-3 phương pháp: T05, đóng vỏ dạng hộp, DIP (hai hàng chân song song) ¾DIP: phổ biếnnhất, dễ lắprápvàsử dụng. Thường gặp: SSI (8, 14, 16 chân), MSI (14, 16, 24 chân), LSI (24, 28, 40 chân). ¾LoạiIC phổ biếnnhấtlàhìnhchữ nhật, hình vuông hoặchình tròn. 1 14 7 8 GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 88 BỘ MÔN KTĐT – KHOA KTĐT1 www.ptit.edu.vn 44
  45. BÀI GIẢNG MÔN ĐIỆN TỬ SỐ BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ 3.4.4. Sơđồchân mộtsố IC TTL 74LS00 74LS02 74LS04 NAND NOR NOT 74LS08 74LS32 74LS86 AND OR XOR GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 89 BỘ MÔN KTĐT – KHOA KTĐT1 BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ Kếtchương 3 ¾Các cổng logic cơ bản: AND, OR, NOT ¾Mộtsố cổng ghép thông dụng: NAND, NOR, XOR, XNOR ¾Tính đachứcnăng củacáccổng NAND, NOR ¾Các tham số chính củacổng logic ¾Các họ cổng logic: DDL, RTL, DTL, TTL, MOS ¾Giao tiếpgiữacáchọ cổng TTL và CMOS, CMOS và TTL ¾Mộtsố lưuý khisử dụng IC số GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 90 BỘ MÔN KTĐT – KHOA KTĐT1 www.ptit.edu.vn 45
  46. BÀI GIẢNG MÔN ĐIỆN TỬ SỐ BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ Chương 4 – MẠCH LOGIC TỔ HỢP 4.1. Khái niệm chung 4.2. Phân tích mạch logic tổ hợp 4.3. Thiếtkế mạch logic tổ hợp 4.4. Mạchmãhóa–giảimã 4.5. Mạch hợp kênh – phân kênh 4.6. Mạch số học 4.7. Mạch so sánh 4.8. Mạch tạo/ kiểmtrachẵnlẻ 4.9. Đơnvị số họcvàlogic ALU 4.10. Hazard trong mạch tổ hợp GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 91 BỘ MÔN KTĐT – KHOA KTĐT1 BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ Mạch tổ hợp(1) ¾ Đặc điểm: -Trị số củatínhiệu đầuraở thời điểm đang xét chỉ phụ thuộcvàotổ hợp các giá trị tín hiệu đầuvào. - Đượccấu trúc nên từ các cổng logic. ¾Phương pháp biểudiễnchứcnăng logic củamạch tổ hợp: - Hàm logic (thường áp dụng cho vi mạch cỡ nhỏ - SSI) -Bảng trạng thái (vi mạch cỡ vừa–MSI) -Bảng Karnaugh - Đồ thị dạng xung GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 92 BỘ MÔN KTĐT – KHOA KTĐT1 www.ptit.edu.vn 46
  47. BÀI GIẢNG MÔN ĐIỆN TỬ SỐ BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ Mạch tổ hợp(2) –Sơđồkhốitổng quát Hệ phương trình tổng quát: x0 Y0 x1 Y0 = f1(x0,x1, ,xn-1); Mạch logic Y1 tổ hợp Y1 = f2(x0,x1, ,xn-1); xn-1 Ym-1 Ym-1 = fm-1(x0,x1, ,xn-1). GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 93 BỘ MÔN KTĐT – KHOA KTĐT1 BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ Chương 4 – MẠCH LOGIC TỔ HỢP 4.1. Khái niệm chung 4.2. Phân tích mạch logic tổ hợp 4.3. Thiếtkế mạch logic tổ hợp 4.4. Mạchmãhóa–giảimã 4.5. Mạch hợp kênh – phân kênh 4.6. Mạch số học 4.7. Mạch so sánh 4.8. Mạch tạo/ kiểmtrachẵnlẻ 4.9. Đơnvị số họcvàlogic ALU 4.10. Hazard trong mạch tổ hợp GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 94 BỘ MÔN KTĐT – KHOA KTĐT1 www.ptit.edu.vn 47
  48. BÀI GIẢNG MÔN ĐIỆN TỬ SỐ BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ Phân tích mạch logic tổ hợp ¾Phân tích mạch logic tổ hợplàtừ sơđồcho trướcxácđịnh chức năng, dạng sóng, tính năng kỹ thuật, củamạch. Từđócóthể rút gọn, chuyển đổidạng thựchiệncủamạch điện để có được lờigiảitối ưu. ¾Phương pháp: –Vớimạch đơngiản: tiến hành lậpbảng trạng thái, viếtbiểu thức, rút gọn, tối ưu(nếucần) và vẽ lạimạch điện. –Vớimạch phứctạp: tiến hành phân đoạnmạch để viếtbiểu thức, sau đórútgọn, tối ưu(nếucần) và vẽ lạimạch điện. ¾Ví dụ: GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 95 BỘ MÔN KTĐT – KHOA KTĐT1 BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ Chương 4 – MẠCH LOGIC TỔ HỢP 4.1. Khái niệm chung 4.2. Phân tích mạch logic tổ hợp 4.3. Thiếtkế mạch logic tổ hợp 4.4. Mạchmãhóa–giảimã 4.5. Mạch hợp kênh – phân kênh 4.6. Mạch số học 4.7. Mạch so sánh 4.8. Mạch tạo/ kiểmtrachẵnlẻ 4.9. Đơnvị số họcvàlogic ALU 4.10. Hazard trong mạch tổ hợp GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 96 BỘ MÔN KTĐT – KHOA KTĐT1 www.ptit.edu.vn 48
  49. BÀI GIẢNG MÔN ĐIỆN TỬ SỐ BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ Thiếtkế mạch logic tổ hợp ¾Thiếtkế mạch logic tổ hợp là bài toán từ yêu cầu(chứcnăng, dạng sóng, tính năng kỹ thuật, ) xây dựng sơđồmạch thực hiện(ngượcvới bài toán phân tích). ¾Phương pháp: GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 97 BỘ MÔN KTĐT – KHOA KTĐT1 BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ Chương 4 – MẠCH LOGIC TỔ HỢP 4.1. Khái niệm chung 4.2. Phân tích mạch logic tổ hợp 4.3. Thiếtkế mạch logic tổ hợp 4.4. Mạch mã hóa – giảimã 4.5. Mạch hợp kênh – phân kênh 4.6. Mạch số học 4.7. Mạch so sánh 4.8. Mạch tạo/ kiểmtrachẵnlẻ 4.9. Đơnvị số họcvàlogic ALU 4.10. Hazard trong mạch tổ hợp GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 98 BỘ MÔN KTĐT – KHOA KTĐT1 www.ptit.edu.vn 49
  50. BÀI GIẢNG MÔN ĐIỆN TỬ SỐ BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ 4.4.1. Mạch mã hóa (1): Mã hóa thập phân - NBCD ¾Sơđồkhối: Vào Ra BCD thập 8 4 2 1 1 8 A phân A B C D 2 Vào 4 Ra Mạch B 1 0 0 0 1 Thập mã hoá 2 BCD phân C 2 8421 9 1 D 3 4 5 ¾Bảng trạng thái: 6 ¾Biểuthứchàmra: 7 8 9 GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 99 BỘ MÔN KTĐT – KHOA KTĐT1 BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ 4.4.1. Mạch mã hóa (2): Mã hóa thập phân - NBCD ¾Mạch điện (dạng ma trậnOR): ¾Mạch điện (dạng ma trận AND): A B C D +5V R4 R3 R2 R1 1 2 1 3 2 4 3 5 4 6 5 7 6 8 7 9 8 9 A B C D GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 100 BỘ MÔN KTĐT – KHOA KTĐT1 www.ptit.edu.vn 50
  51. BÀI GIẢNG MÔN ĐIỆN TỬ SỐ BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ 4.4.1. Mạch mã hóa (3): Mạch mã hóa ưutiên ¾Khi có nhiều đầuvàotácđộng Vào thập phân Ra BCD đồng thời, chỉ mã hoá tín hiệuvào 123456789ABCD có mức ưutiêncaonhất. Mức ưu tiên do ngườithiếtkế mạch xác 0000000000000 định. 100000000 ¾Sơđồkhối: X10000000 L1 8 A 4 Mạch B Vào mã hoá 2 Ra C ưu tiên L9 1 D mức ưu tiên tăng ¾Bảng trạng thái: GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 101 BỘ MÔN KTĐT – KHOA KTĐT1 BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ 4.4.1. Mạch mã hóa (4): Mạch mã hóa ưutiên ¾Biểuthức: GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 102 BỘ MÔN KTĐT – KHOA KTĐT1 www.ptit.edu.vn 51
  52. BÀI GIẢNG MÔN ĐIỆN TỬ SỐ BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ 4.4.2. Mạch biếnmã ¾Bài toán: Thiếtkế mạch biến B2 B1 B0 G2 G1 G0 mã từ nhị phân 3 bit sang Gray. ¾Sơđồkh ối: B2 G 2 Vào Mạch G Ra B1 1 nhị biến mã Gray phân B G 0 0 ¾Bảng trạng thái: ¾Biểuthức: GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 103 BỘ MÔN KTĐT – KHOA KTĐT1 BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ 4.4.3. Mạch giải mã (1): Mạch giảimã7 đoạn ¾Dụng cụ 7 đoạn: - Dùng để hiểnthị chữ số củamộthệđếmbấtkỳ. -Cấutạo: gồm7 đoạnlàmbằng vậtliệucókhả năng phát sáng (LED, LCD, ). - Có hai loại: Anode chung và Kathode chung. a f g b e c d K chung A chung GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 104 BỘ MÔN KTĐT – KHOA KTĐT1 www.ptit.edu.vn 52
  53. BÀI GIẢNG MÔN ĐIỆN TỬ SỐ BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ 4.4.3. Mạch giải mã (2): Mạch giảimã7 đoạn ¾Sơđồkhối: ABCDa bc d e f g a 00000 A b 10001 B Mạch c d C giải mã 20010 e D 7 đoạn f 30011 g 40100 ¾Bảng trạng thái: 50101 ¾Biểuthức: 60110 70111 81000 91001 GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 105 BỘ MÔN KTĐT – KHOA KTĐT1 BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ 4.4.3. Mạch giải mã (3): Mạch giảimã7 đoạn V ¾IC giảimã7 đoạn: cc TTL: A chung: 7447, 74247 (đầura ở mức tích cựcthấp) a b K chung: 7448 (đầuraở mức f g tích cựccao) e c CMOS: 4511 d R p . . . a g LT 7447 RBO RBI A B C D GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 106 BỘ MÔN KTĐT – KHOA KTĐT1 www.ptit.edu.vn 53
  54. BÀI GIẢNG MÔN ĐIỆN TỬ SỐ BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ 4.4.3. Mạch giải mã (4): Mạch giảimãnhị phân ¾Sơđồkhối: lựachọn duy nhất D0 A0 Bộ giải n mộtlốiraứng vớimộttổ hợp D1 n A1 mã nhị 2 mã nhị phân đầu vào. lối vào phân lối ra An-1 D2n- 1 ¾Bảng trạng thái: A1 A0 D0 D1 D2 D3 ¾Biểuthức: GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 107 BỘ MÔN KTĐT – KHOA KTĐT1 BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ 4.4.3. Mạch giải mã (5): Mạch giảimãnhị phân ¾Mạch điện: A1 A0 ¾IC thựctế: 74154 A0 D0 A1 D A2 1 D0 D1 D2 D3 A 74154 3 E 1 E2 D15 GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 108 BỘ MÔN KTĐT – KHOA KTĐT1 www.ptit.edu.vn 54
  55. BÀI GIẢNG MÔN ĐIỆN TỬ SỐ BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ Chương 4 – MẠCH LOGIC TỔ HỢP 4.1. Khái niệm chung 4.2. Phân tích mạch logic tổ hợp 4.3. Thiếtkế mạch logic tổ hợp 4.4. Mạchmãhóa–giảimã 4.5. Mạch hợp kênh – phân kênh 4.6. Mạch số học 4.7. Mạch so sánh 4.8. Mạch tạo/ kiểmtrachẵnlẻ 4.9. Đơnvị số họcvàlogic ALU 4.10. Hazard trong mạch tổ hợp GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 109 BỘ MÔN KTĐT – KHOA KTĐT1 BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ 4.5.1. Mạch hợp kênh – MUX (1) ¾Sơđồkhối: En D0 n D1 MUX 2 lối n 2 ⇒ 1 Y- Lối ra vào dữ liệu n ¾Bảng trạng thái: D2 -1 A1 A0 Y An-1 An-2 A0 n lối vào địa chỉ ¾Biểuthức: GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 110 BỘ MÔN KTĐT – KHOA KTĐT1 www.ptit.edu.vn 55
  56. BÀI GIẢNG MÔN ĐIỆN TỬ SỐ BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ 4.5.1. Mạch hợp kênh – MUX (2) D0 D D ¾Mạch điện: 1 2 D3 A1 ¾IC thựctế: 74151 A0 D0 . . MUX Y . 74151 D7 Y E . . . A2 A0 Y GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 111 BỘ MÔN KTĐT – KHOA KTĐT1 BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ 4.5.2. Mạch phân kênh – DEMUX (1) ¾Sơđồkhối: Y0 Chọn mạch En DEMUX Y1 1⇒2n 2n lối ra Lối vào D dữ liệu n Y2 -1 ¾Bảng trạng thái: A A A1 A0 Y0 Y1 Y2 Y3 n-1 0 n lối vào địa chỉ ¾Biểuthức: GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 112 BỘ MÔN KTĐT – KHOA KTĐT1 www.ptit.edu.vn 56
  57. BÀI GIẢNG MÔN ĐIỆN TỬ SỐ BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ 4.5.2. Mạch phân kênh – DEMUX (2) ¾Mạch điện: D A1 ¾IC thựctế: 74138 A0 A2 A1 Y0 . A . 0 DEMUX . 74138 Y0 Y1 Y2 Y3 E1 Y 7 E2 GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 113 BỘ MÔN KTĐT – KHOA KTĐT1 BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ 4.5.3. Mạch hợp kênh - phân kênh hỗnhợp A A ¾Sơđồ: 1 0 D D Vào/Ra 0 D1 Ra/Vào D2 D3 Bộ giải mã địa chỉ 4066 GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 114 BỘ MÔN KTĐT – KHOA KTĐT1 www.ptit.edu.vn 57
  58. BÀI GIẢNG MÔN ĐIỆN TỬ SỐ BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ 4.5.4. Mộtsốứng dụng củabộ hợp kênh – phân kênh ¾Chuyển đổiluồng dữ liệutừ nốitiếp sang song song và ngượclại ¾Tạo dãy nhị phân tuần hoàn ¾Tạo hàm logic GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 115 BỘ MÔN KTĐT – KHOA KTĐT1 BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ Chương 4 – MẠCH LOGIC TỔ HỢP 4.1. Khái niệm chung 4.2. Phân tích mạch logic tổ hợp 4.3. Thiếtkế mạch logic tổ hợp 4.4. Mạchmãhóa–giảimã 4.5. Mạch hợp kênh – phân kênh 4.6. Mạch số học 4.7. Mạch so sánh 4.8. Mạch tạo/ kiểmtrachẵnlẻ 4.9. Đơnvị số họcvàlogic ALU 4.10. Hazard trong mạch tổ hợp GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 116 BỘ MÔN KTĐT – KHOA KTĐT1 www.ptit.edu.vn 58
  59. BÀI GIẢNG MÔN ĐIỆN TỬ SỐ BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ 4.6.1. Mạch tổng (1) – Mạch bán tổng ¾Sơđồkhối: S a0 HA 0 b C ¾Bảng trạng thái: 0 0 a0 b0 S0 C0 a0 S0 b0 C0 ¾Biểuthức: ¾Mạch điện: GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 117 BỘ MÔN KTĐT – KHOA KTĐT1 BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ 4.6.1. Mạch tổng (2) – Mạch tổng toàn phần ai ¾Sơđồkhối: Si Ci-1 ai bi Si Ci bi FA Ci-1 Ci ¾Bảng trạng thái: ¾Biểuthức: ¾Mạch điện: HA1 HA2 b ab⊕ i ii ai Si Cin abii Cout GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 118 BỘ MÔN KTĐT – KHOA KTĐT1 www.ptit.edu.vn 59
  60. BÀI GIẢNG MÔN ĐIỆN TỬ SỐ BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ 4.6.1. Mạch tổng (3) – Mạch cộng nhị phân song song ¾Sơđồkhối: S0 S1 Si C C C C C C in0 out0 in1 FA out1 ini outi FA0 1 . . . FAi a 0 b0 a1 b1 ai bi ¾IC thựctế: 7483, 7483A S3 S2 S1 S0 S3 S2 S1 S0 C C C C 3 7483A 0 3 7483 0 . . . . . . . . . . . . AA÷ AA÷ BB30÷ 30 BB30÷ 30 GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 119 BỘ MÔN KTĐT – KHOA KTĐT1 BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ 4.6.2. Mạch hiệu(1) –Mạch bán hiệu D ¾Sơđồkhối: a0 HS 0 a0 b0 B0 D0 ¾Bảng trạng thái: b0 a0 b0 D0 B0 B0 a0 S0/D0 b0 C0/B0 ¾Biểuthức: ¾Mạch điện: M (điều khiển) GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 120 BỘ MÔN KTĐT – KHOA KTĐT1 www.ptit.edu.vn 60
  61. BÀI GIẢNG MÔN ĐIỆN TỬ SỐ BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ 4.6.2. Mạch hiệu(2) –Mạch hiệutoànphần ¾Sơđồkhối: ai Di a b Bi-1 D B bi FS i i i i Bi-1 Bi ¾Bảng trạng thái: ¾Biểuthức: ¾Mạch điện: HS1 HS2 b ab⊕ i ii Di ai Bi-1 abii Bi GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 121 BỘ MÔN KTĐT – KHOA KTĐT1 BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ 4.6.3. Mạch cộng trừ theo phương pháp bù (1) – bù 1 ¾Sơđồ: A3 . . . BB30÷ . A0 . . . . . . b ÷ b aa÷ C 30 30C out in 7483A M: Điều khiển ¾Hoạt động: Thực/Bù S3 S2 S1 S0 GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 122 BỘ MÔN KTĐT – KHOA KTĐT1 www.ptit.edu.vn 61
  62. BÀI GIẢNG MÔN ĐIỆN TỬ SỐ BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ 4.6.3. Mạch cộng trừ theo phương pháp bù (2) – bù 2 ¾Sơđồ: Thực/Bù A3 . . . BB30÷ . A0 . . . . . . b ÷ b aa÷ C 3030Cin out ¾Hoạt động: 7483A M: Điều khiển S3 S2 S1 S0 GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 123 BỘ MÔN KTĐT – KHOA KTĐT1 BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ Chương 4 – MẠCH LOGIC TỔ HỢP 4.1. Khái niệm chung 4.2. Phân tích mạch logic tổ hợp 4.3. Thiếtkế mạch logic tổ hợp 4.4. Mạchmãhóa–giảimã 4.5. Mạch hợp kênh – phân kênh 4.6. Mạch số học 4.7. Mạch so sánh 4.8. Mạch tạo/ kiểmtrachẵnlẻ 4.9. Đơnvị số họcvàlogic ALU 4.10. Hazard trong mạch tổ hợp GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 124 BỘ MÔN KTĐT – KHOA KTĐT1 www.ptit.edu.vn 62
  63. BÀI GIẢNG MÔN ĐIỆN TỬ SỐ BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ 4.7.1. Bộ so sánh bằng nhau ¾Bộ so sánh bằng nhau 1 bit: -Bảng trạng thái: ai bi gi ai 00 gi bi -Biểuthức: 01 10 -Mạch điện: 11 ¾Bộ so sánh bằng nhau 4 bit: A = a3a2a1a0 vớiB = b3b2b1b0 GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 125 BỘ MÔN KTĐT – KHOA KTĐT1 BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ 4.7.2. Bộ so sánh 1 bit f ai > ¾Sơđồkhối: Bộ so a b f f f f= i i b sánh i 00 1 bit f GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 126 BỘ MÔN KTĐT – KHOA KTĐT1 www.ptit.edu.vn 63
  64. BÀI GIẢNG MÔN ĐIỆN TỬ SỐ BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ 4.7.3. Bộ so sánh 4 bit ¾So sánh hai số nhị phân 4 bit A = a3a2a1a0 vớiB = b3b2b1b0. ¾IC so sánh: 7485 . aa÷ . 30. f > > = 7485 f < = . f . < b30÷ b . GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 127 BỘ MÔN KTĐT – KHOA KTĐT1 BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ Chương 4 – MẠCH LOGIC TỔ HỢP 4.1. Khái niệm chung 4.2. Phân tích mạch logic tổ hợp 4.3. Thiếtkế mạch logic tổ hợp 4.4. Mạchmãhóa–giảimã 4.5. Mạch hợp kênh – phân kênh 4.6. Mạch số học 4.7. Mạch so sánh 4.8. Mạch tạo/ kiểmtrachẵnlẻ 4.9. Đơnvị số họcvàlogic ALU 4.10. Hazard trong mạch tổ hợp GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 128 BỘ MÔN KTĐT – KHOA KTĐT1 www.ptit.edu.vn 64
  65. BÀI GIẢNG MÔN ĐIỆN TỬ SỐ BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ 4.8.1. Mã chẵnlẻ ¾Mã chẵnlẻ: là loạimã BCD BCD BCD phát hiệnlỗi thông dụng 8421 8421chẵn 8421 lẻ P nhất. C PL ¾Thiếtlậpmãchẵnlẻ: 0000 0000 0 0000 1 thêm mộtbit chẵn/lẻ (parity 0001 0001 1 0001 0 bit) vào tổ hợpmã, sao 0010 0010 1 0010 0 cho: 0011 0011 0 0011 1 -Tổng số bit ‘1’ là chẵn(mã chẵn hay tính chẵn) 0100 0100 1 0100 0 -Tổng số bit ‘1’ là lẻ (mã lẻ 0101 0101 0 0101 1 hay tính lẻ) 0110 0110 0 0110 1 0111 0111 1 0111 0 1000 1000 1 1000 0 1001 1001 0 1001 1 GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 129 BỘ MÔN KTĐT – KHOA KTĐT1 BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ 4.8.2. Mạch tạo bit chẵnlẻ ¾Sơđồkhối: Pe Vào Ra Tạo bit d d d P P n bit dữ chẵn/lẻ 3 2 1 e o liệu Po 000 001 010 ¾Bảng trạng thái: 011 100 101 110 ¾Biểuthức: 111 GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 130 BỘ MÔN KTĐT – KHOA KTĐT1 www.ptit.edu.vn 65
  66. BÀI GIẢNG MÔN ĐIỆN TỬ SỐ BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ 4.8.3. Mạch kiểmtrachẵnlẻ n bit dữ d3 d2 d1 P fe fo ¾Sơđồkhối: liệu 0000 f Kiểm tra e 0001 hệ 0010 Bit chẵn lẻ chẵn/lẻ fo 0011 P,P ()eo 0100 0101 0110 ¾Bảng trạng thái: 0111 1000 1001 1010 ¾Biểuthức: 1011 1100 1101 1110 1111 GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 131 BỘ MÔN KTĐT – KHOA KTĐT1 BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ Chương 4 – MẠCH LOGIC TỔ HỢP 4.1. Khái niệm chung 4.2. Phân tích mạch logic tổ hợp 4.3. Thiếtkế mạch logic tổ hợp 4.4. Mạchmãhóa–giảimã 4.5. Mạch hợp kênh – phân kênh 4.6. Mạch số học 4.7. Mạch so sánh 4.8. Mạch tạo/ kiểmtrachẵnlẻ 4.9. Đơnvị số học và logic ALU 4.10. Hazard trong mạch tổ hợp GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 132 BỘ MÔN KTĐT – KHOA KTĐT1 www.ptit.edu.vn 66
  67. BÀI GIẢNG MÔN ĐIỆN TỬ SỐ BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ Đơnvị số họcvàlogic ALU –Arithmetic Logic Unit ¾ALU là một thành phầncơ bản không thể thiếu được 4 4 trong các máy tính. Nó bao Thanh ghi A Thanh ghi B gồm2 khối chính là khối 4 4 logic và khốisố họcvàmột Cin khối ghép kênh. ALU M -Khối logic: Thựchiệncác F0 F1 phép tính logic: AND, OR, 4 NOT, XOR 4 Ghi trạng thái -Khốisố học: Thựchiệncác phép tính số học: cộng, trừ, tăng 1, giảm1. ¾Sơđồkhối ALU 4 bit: GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 133 BỘ MÔN KTĐT – KHOA KTĐT1 BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ Chương 4 – MẠCH LOGIC TỔ HỢP 4.1. Khái niệm chung 4.2. Phân tích mạch logic tổ hợp 4.3. Thiếtkế mạch logic tổ hợp 4.4. Mạchmãhóa–giảimã 4.5. Mạch hợp kênh – phân kênh 4.6. Mạch số học 4.7. Mạch so sánh 4.8. Mạch tạo/ kiểmtrachẵnlẻ 4.9. Đơnvị số họcvàlogic ALU 4.10. Hazard trong mạch tổ hợp GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 134 BỘ MÔN KTĐT – KHOA KTĐT1 www.ptit.edu.vn 67
  68. BÀI GIẢNG MÔN ĐIỆN TỬ SỐ BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ Hazard trong mạch tổ hợp ¾Là hiệntượng hoạt động lúc đượclúckhôngcủamạch (còn gọilàsự sai nhầm) ¾Bảnchấtcủa Hazard: do sự chạy đua của các tín hiệu vào. ¾Phân loại: - Hazard tĩnh - Hazard động - Hazard hàm số - Hazard logic ¾Biện pháp khắcphục: - Không để có hiệntượng chạy đua củatínhiệuvào -Chọn linh kiện hay IC có thờigiantrễ nhỏ -Sử dụng xung đồng bộ làm xung khóa hoặc xung mở - Dùng tụ lọc - Thay đổichứcnăng điềukhiển GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 135 BỘ MÔN KTĐT – KHOA KTĐT1 BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ Kếtchương 4 ¾Khái niệmmạch tổ hợp ¾Phương pháp phân tích, thiếtkế mạch tổ hợp ¾Giớithiệumộtsố mạch tổ hợp thông dụng (mã hóa, giải mã, hợp kênh, phân kênh, mạch số học, mạch so sánh, mạch tạo/kiểmtrachẵnlẻ, mạch tạo/kiểm tra mã Hamming, ALU) dướidạng bài toán phân tích hay thiếtkế ¾Hiệntượng Hazard trong mạch tổ hợp GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 136 BỘ MÔN KTĐT – KHOA KTĐT1 www.ptit.edu.vn 68
  69. BÀI GIẢNG MÔN ĐIỆN TỬ SỐ BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ Chương 5 – MẠCH LOGIC TUẦN TỰ 5.1. Khái niệm chung 5.2. Phương pháp mô tả mạch tuầntự 5.3. Phầntử nhớ củamạch tuầntự 5.4. Phân tích mạch tuầntự 5.5. Thiếtkế mạch tuầntự 5.6. Mạch tuầntự thông dụng GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 137 BỘ MÔN KTĐT – KHOA KTĐT1 BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ Mạch tuầntự ¾Đặc điểm: Trạng thái hoạt động củamạch điện không chỉ phụ thuộcvàocáclốivàomàcònphụ thuộcvàotrạng thái bên trong trước đócủamạch. x1 z ¾Mô hình toán học: 1 x2 z2 Z = f(Q(n),X) Mạch tổ hợp xn zm Q(n+1) = f(Q(n),X) Q Q W = f(Q(n),X) 1 j W1 Wi Mạch nhớ GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 138 BỘ MÔN KTĐT – KHOA KTĐT1 www.ptit.edu.vn 69
  70. BÀI GIẢNG MÔN ĐIỆN TỬ SỐ BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ Chương 5 – MẠCH LOGIC TUẦN TỰ 5.1. Khái niệm chung 5.2. Phương pháp mô tả mạch tuầntự 5.3. Phầntử nhớ củamạch tuầntự 5.4. Phân tích mạch tuầntự 5.5. Thiếtkế mạch tuầntự 5.6. Mạch tuầntự thông dụng GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 139 BỘ MÔN KTĐT – KHOA KTĐT1 BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ 5.2.1. Bảng (1) – Bảng chuyển đổitrạng thái - Các hàng: ghi các trạng thái trong Q -Cáccột: ghi giá trị tín hiệuvàoX - Các ô: ghi trạng thái kế tiếp ứng vớigiátrịởhàng và cột. Tín hiệuvào V V1 V2 . Vn S Trạng S → Trạng thái kế thái trong 1 tiếpQk S2 . . Sn GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 140 BỘ MÔN KTĐT – KHOA KTĐT1 www.ptit.edu.vn 70
  71. BÀI GIẢNG MÔN ĐIỆN TỬ SỐ BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ 5.2.1. Bảng (2) – Bảng tín hiệura - Các hàng: ghi các trạng thái trong Q -Cáccột: ghi giá trị tín hiệuvàoX - Các ô: ghi tín hiệuratương ứng vớigiátrịởhàng và cột. Tín hiệuvào V V1 V2 . Vn S Trạng Tín hiệuraZ thái trong S1 → S2 . . Sn GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 141 BỘ MÔN KTĐT – KHOA KTĐT1 BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ 5.2.1. Bảng (3) – Bảng chuyển đổitrạng thái/tín hiệura - Các hàng: ghi các trạng thái trong Q -Cáccột: ghi giá trị tín hiệuvàoX - Các ô: ghi trạng thái kế tiếp/tín hiệura. Tín hiệuvào V V1 V2 . Vn S Trạng S → Trạng thái kế thái trong 1 tiếp Qk/tín hiệu S2 ra Z . . Sn GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 142 BỘ MÔN KTĐT – KHOA KTĐT1 www.ptit.edu.vn 71
  72. BÀI GIẢNG MÔN ĐIỆN TỬ SỐ BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ 5.2.2. Đồ hình trạng thái ¾Là hình vẽ phản ánh quy luậtchuyển đổitrạng thái và tình trạng các giá trịởlốivàovàlốiratương ứng củamạch tuầntự. ¾Đồ hình trạng thái là một đồ hình có hướng gồm hai tập: M - Tậpcácđỉnh và K - Tập các cung có hướng. - Mô hình Mealy: - Mô hình Moore: X/Z X Q Q j Q j Qi i Z Z GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 143 BỘ MÔN KTĐT – KHOA KTĐT1 BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ Chương 5 – MẠCH LOGIC TUẦN TỰ 5.1. Khái niệm chung 5.2. Phương pháp mô tả mạch tuầntự 5.3. Phầntử nhớ củamạch tuầntự 5.4. Phân tích mạch tuầntự 5.5. Thiếtkế mạch tuầntự 5.6. Mạch tuầntự thông dụng GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 144 BỘ MÔN KTĐT – KHOA KTĐT1 www.ptit.edu.vn 72
  73. BÀI GIẢNG MÔN ĐIỆN TỬ SỐ BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ 5.3.1. Các loạiTrigơ (1) ¾Sơđồkhối: PR Q Các lối vào điều khiển TRIGƠ ¾Phân loại: Clock Q CLR TRIGƠ TRIGƠ D TRIGƠ T TRIGƠ RS TRIGƠ JK KHÔNG ĐỒNG BỘ ĐỒNG BỘ LOẠI THƯỜNG CHÍNH - PHỤ GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 145 BỘ MÔN KTĐT – KHOA KTĐT1 BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ 5.3.1. Các loạiTrigơ (2) – RS không đồng bộ S Q S S Q ¾Sơđồkhối: R Q R R Q S R Q ¾Mạch điện: Q ⎯Q S Q R ¾Bảng trạng thái: SRQk Chế độ 00Q Nhớ 010 Xóa 101 Lập 11X Cấm GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 146 BỘ MÔN KTĐT – KHOA KTĐT1 www.ptit.edu.vn 73
  74. BÀI GIẢNG MÔN ĐIỆN TỬ SỐ BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ 5.3.1. Các loạiTrigơ (3) – RS không đồng bộ ¾Phương trình đặctrưng: RS 00 01 11 10 Q 0 1 ¾Đồ hình trạng thái: ¾Giản đồ xung: SR 10 S 0X X0 R 0 1 Q 01 t1 t2 t3 t4 GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 147 BỘ MÔN KTĐT – KHOA KTĐT1 BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ 5.3.1. Các loạiTrigơ (4) – RS đồng bộ ¾Sơđồkhối: S S Q S Q C C R Q R R Q ¾Mạch điện: S S R R Q Q C C ⎯Q S ⎯Q R R S GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 148 BỘ MÔN KTĐT – KHOA KTĐT1 www.ptit.edu.vn 74
  75. BÀI GIẢNG MÔN ĐIỆN TỬ SỐ BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ 5.3.1. Các loạiTrigơ (5) – RS đồng bộ ¾Bảng trạng thái (RS NAND): CS RQk Chế độ ¾Phương trình đặctrưng: 0XXQ Nhớ 10 0Q Nhớ 10 1 0 Xóa 11 0 1 Lập 11 1X Cấm C S R ¾Giản đồ xung: CS=1 CR=1 CRS=1 (lập) (xóa) (không xác định) GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 149 BỘ MÔN KTĐT – KHOA KTĐT1 BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ 5.3.1. Các loạiTrigơ (6) – D không đồng bộ S ¾Sơđồkhối: Q D Q D Q ¾Mạch điện (RS NAND): Q ¾Bảng trạng thái: R ¾Phương trình: QDQk D 1 0 1 000 0 1 011 100 0 111 ¾Đồ hình trạng thái: GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 150 BỘ MÔN KTĐT – KHOA KTĐT1 www.ptit.edu.vn 75
  76. BÀI GIẢNG MÔN ĐIỆN TỬ SỐ BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ 5.3.1. Các loạiTrigơ (7) – D đồng bộ D D ¾Sơđồkhối: Q Q C C Q Q D S ¾Mạch điện: Q (RS NAND) C R Q CDQk 0xQ ¾Bảng trạng thái: 100 111 GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 151 BỘ MÔN KTĐT – KHOA KTĐT1 BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ 5.3.1. Các loạiTrigơ (8) – JK đồng bộ ¾Sơđồkhối: J Q J Q C C Q Q ¾Mạch điện: K K J S J S Q Q C C Q Q K K R R GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 152 BỘ MÔN KTĐT – KHOA KTĐT1 www.ptit.edu.vn 76
  77. BÀI GIẢNG MÔN ĐIỆN TỬ SỐ BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ 5.3.1. Các loạiTrigơ (9) – JK đồng bộ ¾Bảng trạng thái: CJ KQk ¾Phương trình đặctrưng: 0XXQ 00Q 010 1 101 11Q’ JK ¾Đồ hình trạng thái: 1X 0X X0 0 1 X1 GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 153 BỘ MÔN KTĐT – KHOA KTĐT1 BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ 5.3.1. Các loạiTrigơ (10) – JK đồng bộ ¾Giản đồ xung: 1 2 3 4 5 6 7 8 C 0 1 1 1 1 1 J 0 0 K 1 0 0 0 1 1 1 1 Q Lập Lập Nhớ Xoá Nhớ Đảo Đảo GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 154 BỘ MÔN KTĐT – KHOA KTĐT1 www.ptit.edu.vn 77
  78. BÀI GIẢNG MÔN ĐIỆN TỬ SỐ BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ 5.3.1. Các loạiTrigơ (11) – T đồng bộ T ¾Sơđồkhối: Q Q C C Q Q T (T=1) ¾Mạch điện (RS NAND): S Q C ¾Bảng trạng thái: ¾Phương trình: Q R CTQk 0xQ 10Q 11Q’ GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 155 BỘ MÔN KTĐT – KHOA KTĐT1 BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ 5.3.1. Các loạiTrigơ (12) – T đồng bộ ¾Đồ hình trạng thái: T 1 0 0 0 1 1 ¾Giản đồ xung: T T C C Q TQ GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 156 BỘ MÔN KTĐT – KHOA KTĐT1 www.ptit.edu.vn 78
  79. BÀI GIẢNG MÔN ĐIỆN TỬ SỐ BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ 5.3.2. Đầu vào không đồng bộ củaTrigơ - Các đầu vào D, S, R, J, K, T là những đầu vào đồng bộ vì chúng có ảnh hưởng lên các đầu ra khi có tác động của xung Clock. - Trong thực tế các trigơ còn có thêm 2 đầu vào không đồng bộ, các lối này tác động trực tiếp lên các đầu ra mà không phụ thuộc vào xung Clock. - Các đầu vào này thường được ký hiệu là: PRE (lập) và CLR (R - xóa) hoặc PRE và CLR (R) GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 157 BỘ MÔN KTĐT – KHOA KTĐT1 BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ 5.3.3. Trigơ chính – phụ (MS: Master – Slave) - Do hiệntượng trễ truyền lan, khi làm việc ở tầnsố cao thì lốira Q củatrigơ không đáp ứng kịpvớisự thay đổicủa xung nhịp, dẫn đếnmạch hoạt động ở tình trạng không tin cậy. * Cấutrúc:gồmhaitrigơđồng bộ giống nhau nhưng cực tính của xung điềukhiển clock ngược nhau, để đảmbảotạimỗi sườn xung nhịpchỉ có mộttrigơ hoạt động. * Sơđồ: Q Q C TRIGƠ TRIGƠ M C S Q Q GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 158 BỘ MÔN KTĐT – KHOA KTĐT1 www.ptit.edu.vn 79
  80. BÀI GIẢNG MÔN ĐIỆN TỬ SỐ BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ 5.3.4. Chuyển đổigiữa các loạiTrigơ (1) – Sơđồ D Q JK RS Y X Mạch Trigơ logic loại Y Q phụ T GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 159 BỘ MÔN KTĐT – KHOA KTĐT1 BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ 5.3.4. Chuyển đổigiữa các loạiTrigơ (2) – Phương pháp ¾Cách 1: Dùng phương trình đặctrưng: (cầnkỹ năng biến đổitoán nhưng nhanh gọn) B1: Sử dụng phép biến đổi logic đưaphương trình đặctrưng củatrigơđã cho về dạng phương trình đặctrưng củatrigơ cầntìm. B2: Từ phương trình đặctrưng, viết hàm kích thích củatrigơ cần tìm. B3: Vẽ sơđồtương ứng. ¾Cách 2: Dùng bảng hàm kích: Bảng hàm kích: bảng trạng thái mô tả sự phụ thuộccủacácđầuvào kích thích vớiQ. B1: Xác định hệ hàm theo bảng hàm kích. B2: Tốithiểu hoá các hàm Y. B3: Vẽ sơđồtương ứng. GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 160 BỘ MÔN KTĐT – KHOA KTĐT1 www.ptit.edu.vn 80
  81. BÀI GIẢNG MÔN ĐIỆN TỬ SỐ BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ 5.3.4. Chuyển đổigiữa các loạiTrigơ (3) – Bảng hàm kích QQk SRJKTD 00 01 10 11 GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 161 BỘ MÔN KTĐT – KHOA KTĐT1 BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ Chương 5 – MẠCH LOGIC TUẦN TỰ 5.1. Khái niệm chung 5.2. Phương pháp mô tả mạch tuầntự 5.3. Phầntử nhớ củamạch tuầntự 5.4. Phân tích mạch tuầntự 5.5. Thiếtkế mạch tuầntự 5.6. Mạch tuầntự thông dụng GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 162 BỘ MÔN KTĐT – KHOA KTĐT1 www.ptit.edu.vn 81
  82. BÀI GIẢNG MÔN ĐIỆN TỬ SỐ BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ Các bước phân tích mạch tuầntự ¾B1: Xác định chứcnăng từng phầntử ¾B2: Xác định đầu vào, đầura, số trạng thái trong củamạch ¾B3: Xác định pt hàm ra, pt hàm kích, pt chuyển đổitrạng thái ¾B4: Lậpbảng chuyển đổitrạng thái và tín hiệura ¾B5: Vẽđồhình trạng thái ¾B6: Vẽđồthị dạng xung Nêu chứcnăng củamạch GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 163 BỘ MÔN KTĐT – KHOA KTĐT1 BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ Chương 5 – MẠCH LOGIC TUẦN TỰ 5.1. Khái niệm chung 5.2. Phương pháp mô tả mạch tuầntự 5.3. Phầntử nhớ củamạch tuầntự 5.4. Phân tích mạch tuầntự 5.5. Thiếtkế mạch tuầntự 5.6. Mạch tuầntự thông dụng GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 164 BỘ MÔN KTĐT – KHOA KTĐT1 www.ptit.edu.vn 82
  83. BÀI GIẢNG MÔN ĐIỆN TỬ SỐ BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ Các bướcthiếtkế mạch tuầntự (1) ¾Bước1:Xác định bài toán, gán hàm và biến, tìm hiểumối quan hệ giữa chúng. ¾Bước2:Xây dựng đồ hình trạng thái, bảng chuyển đổitrạng thái và hàm ra. ¾Bước3:Rút gọntrạng thái (tốithiểu hoá trạng thái). -Việctốithiểu hoá trạng thái chủ yếu dựa vào khái niệmtrạng thái tương đương. -Cáctrạng thái tương đương với nhau có thểđược thay bằng mộttrạng thái chung đạidiện cho chúng. GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 165 BỘ MÔN KTĐT – KHOA KTĐT1 BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ Các bướcthiếtkế mạch tuầntự (2) ¾Bước4:Mã hoá trạng thái -Số biếnnhị phân dùng để mã hoá các trạng thái trong củamạch phụ thuộc vào số lượng trạng thái trong của mạch. -Córất nhiều cách mã hoá khác nhau, mỗi cách cho mộtsơđồthựchiện mạch khác nhau. Cầnmãhoásaocho sơđồmạch thựchiệnlàđơngiản nhất. GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 166 BỘ MÔN KTĐT – KHOA KTĐT1 www.ptit.edu.vn 83
  84. BÀI GIẢNG MÔN ĐIỆN TỬ SỐ BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ Các bướcthiếtkế mạch tuầntự (3) ¾Bước5:Xác định hệ phương trình củamạch. Có hai cách xác định: + Lậpbảng chuyển đổitrạng thái và tín hiệura, từ đóxácđịnh các phương trình kích cho các trigơ. + Dựatrựctiếpvàođồ hình trạng thái, viếthệ phương trình Ton, Toff củacáctrigơ và phương trình hàm ra. Cả hai cách này đềucódạng phương trình: + Phương trình củamạch chỉ dùng NAND. + Phương trình củamạch dùng trigơ RS không đồng bộ và các mạch NAND. + Phương trình củamạch dùng các loạitrigơ khác. ¾Bước6:Vẽ sơđồthựchiện GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 167 BỘ MÔN KTĐT – KHOA KTĐT1 BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ Chương 5 – MẠCH LOGIC TUẦN TỰ 5.1. Khái niệm chung 5.2. Phương pháp mô tả mạch tuầntự 5.3. Phầntử nhớ củamạch tuầntự 5.4. Phân tích mạch tuầntự 5.5. Thiếtkế mạch tuầntự 5.6. Mạch tuầntự thông dụng GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 168 BỘ MÔN KTĐT – KHOA KTĐT1 www.ptit.edu.vn 84
  85. BÀI GIẢNG MÔN ĐIỆN TỬ SỐ BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ 5.6.1. Bộđếm(1) –Định nghĩa ¾Bộđếmlàmộtmạch tuầntự tuần hoàn có mộtlốivàođếmvà mộtlốira, mạch có số trạng thái trong bằng chính hệ sốđếm (ký hiệulàMd). ¾ Dướitácdụng của tín hiệuvàođếm, mạch sẽ chuyểntừ trạng thái trong này đếnmộttrạng thái trong khác theo mộtthứ tự nhất định. ¾Cứ sau Md tín hiệuvàođếmmạch lạitrở về trạng thái xuất phát ban đầu. Q0 Q1 Qn-1 . . . Xd / 0 Xd / 0 Xd / 0 Xd / 0 Xd / 0 Xđ Bộ đếm Y Xđ / 0 Xđ / 0 Xđ / 0 Xđ / 0 Mđ 0 1 2 Mđ-2 Mđ-1 . . . Xđ / 1 p0 p1 pm-1 GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 169 BỘ MÔN KTĐT – KHOA KTĐT1 BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ 5.6.1. Bộđếm(2) –Phânloại Đồng bộ Phân theo cách hoạt động Không đồng bộ Đếm tiến Phân theo hướng đếm Đếm lùi M = 2N Phân theo hệ số đếm Bộ M ≠ 2N đếm Không lập trình Phân theo cách tạoM Lập trình Mã nhị phân Mã NBCD Phân theo mã Mã Gray Mã Johnson Mã vòng GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 170 BỘ MÔN KTĐT – KHOA KTĐT1 www.ptit.edu.vn 85
  86. BÀI GIẢNG MÔN ĐIỆN TỬ SỐ BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ 5.6.1. Bộđếm(3) –Phương pháp phân tích bộđếm ¾B1: Viếtphương trình định thời, phương trình hàm ra, phương trình hàm kích ¾B2: Thay phương trình hàm kích vào phương trình đặctrưng để tìm phương trình chuyển đổitrạng thái ¾B3: Thay các tổ hợpcóthể có của trạng thái hiệntại và tín hiệuvàovàopt chuyển đổitrạng thái để tìm trạng thái kế tiếpvàtínhiệura ¾B4: Vẽđồhình trạng thái, giản đồ xung để tìm chứcnăng củabộđếm GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 171 BỘ MÔN KTĐT – KHOA KTĐT1 BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ 5.6.1. Bộđếm (4) – VD1: Phân tích bộđếm đồng bộ Z 1 J0 Q0 J1 Q1 J2 Q2 1 K0 Q0 K1 Q1 K2 Q2 C GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 172 BỘ MÔN KTĐT – KHOA KTĐT1 www.ptit.edu.vn 86
  87. BÀI GIẢNG MÔN ĐIỆN TỬ SỐ BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ 5.6.1. Bộđếm (5) – VD2: Phân tích bộđếm đồng bộ Z J0 Q0 J1 Q1 1 1 K0 Q0 K1 Q1 C GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 173 BỘ MÔN KTĐT – KHOA KTĐT1 BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ 5.6.1. Bộđếm (6) – VD3: Phân tích bộđếm không đồng bộ Q (LSB) Q2 (MSB) 0 Q1 1 1 1 J0 Q0 J1 Q1 J2 Q2 C 1 1 1 K0 Q0 K1 Q1 K2 Q2 GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 174 BỘ MÔN KTĐT – KHOA KTĐT1 www.ptit.edu.vn 87
  88. BÀI GIẢNG MÔN ĐIỆN TỬ SỐ BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ 5.6.1. Bộđếm (7) – VD4: Phân tích bộđếm không đồng bộ 1 1 J Q J Q J Q J Q C 0 0 1 1 2 2 3 3 1 Q 1 Q 1 Q 1 K0 0 K1 1 K2 2 K3 Q3 GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 175 BỘ MÔN KTĐT – KHOA KTĐT1 BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ 5.6.1. Bộđếm(8) –Phương pháp thiếtkế bộđếm ¾B1: Phân tích bài toán để xây dựng đồ hình trạng thái. ¾B2: Xác định số lượng và loạitrigơ sử dụng, thựchiệnmãhoátrạng thái. ¾B3: Xác định hệ phương trình: phương trình định thời, phương trình hàm ra, phương trình chuyển đổitrạng thái. Tìm phương trình hàm kích và tốithiểu hóa. Kiểmtrakhả năng tự khởi động (nếu cần). ¾B4: Vẽ mạch điệnthựchiện. GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 176 BỘ MÔN KTĐT – KHOA KTĐT1 www.ptit.edu.vn 88
  89. BÀI GIẢNG MÔN ĐIỆN TỬ SỐ BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ 5.6.1. Bộđếm(9) –Vídụ ¾VD1: Thiếtkế bộđếmnhị phân đồng bộ có Md=4 ¾VD2: Thiếtkế bộđếm đồng bộ có Md=5 ¾VD3: Thiếtkế bộđếmnhị phân không đồng bộ có Md=8 ¾VD4: Thiếtkế bộđếm không đồng bộ có Md=6 GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 177 BỘ MÔN KTĐT – KHOA KTĐT1 BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ 5.6.1. Bộđếm (10) – Mộtsố IC đếm 7492 Gồm 4 trigơ JK mắc thành hai bộđếm không đồng bộ mod 2 và mod 6 độclập. 7493 Gồm 4 trigơ JK mắc thành hai bộđếm không đồng bộ mod 2 và mod 8 độclập. 74190 Bộđếmthuậnnghịch (UP/DOWN) thập Preset đồng bộ và không Clear phân 74191 Bộđếmthuậnnghịch (UP/DOWN) nhị Preset đồng bộ và không Clear phân 4 bit 74192 Bộđếmthuậnnghịch (UP/DOWN) thập Preset đồng bộ và Clear phân 74193 Bộđếmthuậnnghịch (UP/DOWN) nhị Preset đồng bộ và Clear phân 4 bit 74390 Gồmhaikhốigiống hệt nhau, mỗikhối gồm 4 trigơ JK mắc thành hai bộđếm không đồng bộ mod 2 và mod 5 độclập GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 178 BỘ MÔN KTĐT – KHOA KTĐT1 www.ptit.edu.vn 89
  90. BÀI GIẢNG MÔN ĐIỆN TỬ SỐ BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ 5.6.1. Bộđếm (11) – IC đếm 74192, 74193 ¾Trong các bộđếm này, khi thứchiện đếmthuận thì xung Clock đượcnốivới CLK-UP, còn chân CLK-DOWN đượcnốivới logic 1; khi đếmnghịch thì ngượclại. ¾Các chân CARRY (nhớ) và BORROW (mượn) có logic 1 và nó sẽ chuyểnmứcthấpkhitrànmứchoặcdướimức. ¾Chân LOAD = 0 có thể nạpdữ liệuvàobộđếm. GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 179 BỘ MÔN KTĐT – KHOA KTĐT1 BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ 5.6.1. Bộđếm (12) – IC đếm 7490, 74390 ¾7490 bao gồm4 trigơ cung cấpbộđếmgồm hai Mod đếm độc lập: Mod 2 và Mod 5. ¾Trigơ A thựchiện đếm Mod 2, Trigơ B, C, D thựchiện đếm Mod 5. ¾IC 74390 là bảnkép(dual) của 7490 GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 180 BỘ MÔN KTĐT – KHOA KTĐT1 www.ptit.edu.vn 90
  91. BÀI GIẢNG MÔN ĐIỆN TỬ SỐ BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ 5.6.1. Bộđếm (13) – IC đếm 7492, 7493 ¾Bao gồm4 trigơ cung cấp bộđếmgồm hai Mod đếm: Mod 2 và Mod 6 (7492) hoặc mod 8 (7493). ¾Trigơ A thựchiện đếm Mod 2, Trigơ B, C, D thựchiện đếm Mod 6 hoặc mod 8. ¾Hoạt động củanhững bộ đếm này giống như IC 7490, chỉ khác là không có các lối vào lập và Mod 6 không đếmtheotrìnhtự nhị phân. GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 181 BỘ MÔN KTĐT – KHOA KTĐT1 BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ 5.6.1. Bộđếm (14) – Thiếtkế bộđếmbấtkỳ dùng IC đếm ¾Xây dựng mod đếmbấtkỳ sử dụng phương pháp hồitiếp đầura vềđầu vào xóa: -Biểudiễn mod đếmM dướidạng nhị phân -Xácđịnh số bit ‘1’ cầnxoávề ‘0’: bằng cách đưa đầuraQ tương ứng hồitiếpvề chân Reset. -Nếu có nhiềuhơn hai bit ‘1’ cầnxoá, sử dụng cổng AND hoặc NAND trướckhiđưavề chân Reset. ¾Ví dụ: Sử dụng IC 7493 thựchiệnbộđếmcóM = 4. QD - M = 4 = 100 nên cầnhồitiếpQD về chân Reset. Q CLK 7493 C B Q -Sơđồ: B R1 R 2 Mod 4 GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 182 BỘ MÔN KTĐT – KHOA KTĐT1 www.ptit.edu.vn 91
  92. BÀI GIẢNG MÔN ĐIỆN TỬ SỐ BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ 5.6.2. Bộ ghi dịch (1) – Định nghĩa -Bộ ghi dịch (thanh ghi dịch) là phầntử không thể thiếu được trong CPU, trong các hệ vi xử lí. -Nócókhả năng ghi (nhớ) số liệuvàdịch thông tin (sang phải hoặc sang trái). -Bộ ghi dịch đượccấutạotừ mộtdãyphầntử nhớđượcmắc liên tiếpvới nhau và mộtsố các cổng logic cơ bảnhỗ trợ. -Muốn ghi và truyềnmộttừ nhị phân n bit cầnn phầntử nhớ (n trigơ). Trong các bộ ghi dịch thường dùng các trigơđồng bộ như trigơ RS, T, JK, D. - Thông thường người ta hay dùng trigơ D hoặccáctrigơ khác nhưng mắc theo kiểutrigơ D để tạo thành các bộ ghi. -Hoạt động dịch dữ liệu đượcthựchiệntừng bit theo điềukhiển của xung nhịp. GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 183 BỘ MÔN KTĐT – KHOA KTĐT1 BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ 5.6.2. Bộ ghi dịch (2) – Phân loại SIPO Phân theo cách đưa tín PIPO hiệu vào, lấy tín hiệu ra SISO PISO Dịch phải Dịch trái Bộ Phân theo hướng dịch Dịch hai hướng ghi dịch Dịch vòng Đầu vào đơn Phân theo đầu vào Đầu vào đôi Đầu ra đơn Phân theo đầu ra Đầu ra đôi GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 184 BỘ MÔN KTĐT – KHOA KTĐT1 www.ptit.edu.vn 92
  93. BÀI GIẢNG MÔN ĐIỆN TỬ SỐ BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ 5.6.2. Bộ ghi dịch (3) – Sơđồbộ ghi dịch nốitiếp4 bit GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 185 BỘ MÔN KTĐT – KHOA KTĐT1 BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ 5.6.2. Bộ ghi dịch (4) – Hoạt động củabộ ghi dịch nốitiếp Cách ghi dữ liệu vào: - Số liệu: D1, D2, D3, D4 - Dịch phải, nhập D4 trước. - Sau 4 xung nhịp: ghi xong. Cách lấy số liệu ra: - Ra song song: Sau 4 xung nhịp: Q1 Q2 Q3 Q4 = D1 D2 D3 D4 Đặt “Điều khiển ra” = 1. - Ra nối tiếp: Lấy ra ở Q4 (trigơ cuối cùng). Sau 4 xung nhịp: D4 xuất hiện ở Q4 Cần 3 xung nữa để D1 D2 D3 được đưa ra Q4. GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 186 BỘ MÔN KTĐT – KHOA KTĐT1 www.ptit.edu.vn 93
  94. BÀI GIẢNG MÔN ĐIỆN TỬ SỐ BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ 5.6.2. Bộ ghi dịch (5) – Ứng dụng - Bộ chuyển dữ liệu từ nối tiếp sang song song Dùng bộ ghi dịch SIPO. - Bộ chuyển dữ liệu từ song song sang nối tiếp Dùng bộ ghi dịch PISO. - Bộ đếm vòng - Bộ đếm vòng xoắn - Bộ phát xung tuần tự Dùng làm bộ đếm, bộ phát xung giả ngẫu nhiên, - Thanh chốt dữ liệu (Latch) Sử dụng trong các mạch giao tiếp Bus dữ liệu, các bộ phân kênh, hợp kênh, và trong các mạch điều khiển GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 187 BỘ MÔN KTĐT – KHOA KTĐT1 BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ 5.6.2. Bộ ghi dịch(6) –PhântíchBộđếm vòng GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 188 BỘ MÔN KTĐT – KHOA KTĐT1 www.ptit.edu.vn 94
  95. BÀI GIẢNG MÔN ĐIỆN TỬ SỐ BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ 5.6.2. Bộ ghi dịch(7) –PhântíchBộđếm vòng xoắn GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 189 BỘ MÔN KTĐT – KHOA KTĐT1 BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ 5.6.2. Bộ ghi dịch(8) –PhântíchBộ tạotínhiệugiả ngẫu nhiên D Q D1 Q1 D2 Q2 D3 Q3 4 4 ’ ’ ’ ’ Clock GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 190 BỘ MÔN KTĐT – KHOA KTĐT1 www.ptit.edu.vn 95
  96. BÀI GIẢNG MÔN ĐIỆN TỬ SỐ BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ Kếtchương 5 -Mạch logic tuầntự có tín hiệu đầuraphụ thuộc không những tín hiệu đầuvàoở thời điểmxétmàcả vào trạng thái mạch điện sẵncóở thời điểm đó. - Để nhớ trạng thái mạch điện, mạch tuầntự phảicóphầntử nhớ -trigơ. -Tínhchấtcơ bảncủaTrigơ: có hai trạng thái ổn định, dướitác dụng của tín hiệu bên ngoài có thể chuyển đổitừ trạng thái ổn định này sang trạng thái ổn định kia, nếu không có tác dụng tín hiệu bên ngoài thì nó duy trì mãi trạng thái ổn định vốncó. - Đặc điểmcủacácloạitrigơ và chuyển đổigiữa chúng. -Mộtsố loạimạch tuầntựđiển hình: bộđếm, bộ ghi dịch -Phương pháp chung khi phân tích và thiếtkế mạch tuầntự. GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 191 BỘ MÔN KTĐT – KHOA KTĐT1 BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ Chương 6 – MẠCH PHÁT XUNG VÀ TẠO DẠNG XUNG 6.1. Mạch phát xung 6.2. Trigơ Schmit 6.3. Mạch đahàiđợi 6.4. IC định thời GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 192 BỘ MÔN KTĐT – KHOA KTĐT1 www.ptit.edu.vn 96
  97. BÀI GIẢNG MÔN ĐIỆN TỬ SỐ BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ Mộtsố chỉ tiêu đánh giá dạng xung vuông * Các đặc trưng kỹ thuật của xung vuông: T: Chu kỳ xung t r tf 1 0,9V f = : Tần số xung m T 0,5Vm Vm TW : Độ rộng xung 0,1V Vm : Biên độ xung m T t : Sườn trước W r T t f : Sườn sau * Các chỉ tiêu đánh giá xung đồng hồ: - Độ ổn định tần số - Độ ổn định pha - Độ ổn định biên độ GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 193 BỘ MÔN KTĐT – KHOA KTĐT1 BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ Mạch phát xung (Mạch dao động đahài) ¾Đặc điểm: Q –Có hai trạng thái không ổn định Dao động đa –Tự dao động, không cầnsự kích hài Q hoạt bên ngoài –Tín hiệu ra là dãy xung vuông ¾Phân loại: –Mạch dao động đa hài NAND TTL –Mạch dao động đa hài vòng RC –Mạch dao động đa hài thạch anh –Mạch dao động đa hài CMOS ¾Dạng sóng tín hiệura: GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 194 BỘ MÔN KTĐT – KHOA KTĐT1 www.ptit.edu.vn 97
  98. BÀI GIẢNG MÔN ĐIỆN TỬ SỐ BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ 6.1.1. Mạch dao động đahàicơ bảncổng NAND TTL (1) * Sơ đồ mạch điện: - Ghép điện dung hai cổng NAND thành mạch vòng. VK: đầu vào điều khiển. Q Q VK=1: mạch phát xung khi được nối nguồn. VK=0: mạch ngừng phát xung. * Nguyên lý hoạt động: GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 195 BỘ MÔN KTĐT – KHOA KTĐT1 BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ 6.1.1. Mạch dao động đahàicơ bảncổng NAND TTL (2) * Dạng sóng tín hiệura: * Chu kỳ tín hiệu ra : Tt= M1+ t M2 - Để xung ra vuông đều: ⇔ ttM1= M2 - Nếu Rf1=Rf2=Rf, C1=C2=C, VOH=3 V, VOL=0,35 V, VT = 1,4 V: T2R//RC≈ ()f1 GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 196 BỘ MÔN KTĐT – KHOA KTĐT1 www.ptit.edu.vn 98
  99. BÀI GIẢNG MÔN ĐIỆN TỬ SỐ BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ 6.1.2. Mạch dao động đa hài vòng RC * Sơ đồ: Vi1 V i2 Vi3 Vo - Tần số của tín hiệu đầu ra phụ thuộc I II III vào thời gian trễ của cổng NAND. * Điềuchỉnh tầnsố bằng mạch trễ RC: * Dạng sóng tín hiệura: T V i1 t pd Vi2 tpd tpd Vi3 GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 197 BỘ MÔN KTĐT – KHOA KTĐT1 BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ 6.1.3. Mạch dao động đahàithạch anh * Sơđồ: Thay mộttụđiệntrongsơđồbộ dao động đa hài bằng thạch anh. * Đặc điểm: -Tầnsố củamạch dao động chỉ phụ thuộc vào tinh thể thạch anh mà không phụ thuộcvàogiátrị các tụđiệnvàđiệntrở trong mạch. - Độ ổn định tầnsố cao, do thạch anh có tính chọnlọctầnsố rất cao. * Ứng dụng: trong các mạch yêu cầutínhiệu đồng hồ có tầnsố chính xác và độ ổn định cao. GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 198 BỘ MÔN KTĐT – KHOA KTĐT1 www.ptit.edu.vn 99
  100. BÀI GIẢNG MÔN ĐIỆN TỬ SỐ BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ 6.1.4. Mạch dao động đahàiCMOS * Sơđồ: VK VO Vi1 R * Dạng sóng tín hiệura: C * Chu kỳ dao động: T T1 T2 E ⎛⎞EE D DDVO TTT=+=12 RCln⎜⎟ + 0 ⎝⎠EVVDT− T ED Vi2 - Nếu VT = ED/2 thì T1 = T2: 0 E τ = RC T =≈ RCln4 1,4RC D ET=E D /2 Vi1 0 GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 199 BỘ MÔN KTĐT – KHOA KTĐT1 BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ Chương 6 – MẠCH PHÁT XUNG VÀ TẠO DẠNG XUNG 6.1. Mạch phát xung 6.2. Trigơ Schmit 6.3. Mạch đahàiđợi 6.4. IC định thời GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 200 BỘ MÔN KTĐT – KHOA KTĐT1 www.ptit.edu.vn 100
  101. BÀI GIẢNG MÔN ĐIỆN TỬ SỐ BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ Mạch đahàihaitrạng thái ổn định ¾Đặc điểm: Q - Có hai trạng thái ổn định. T - Khi có xung kích thích, mạch chuyểntừ Q trạng thái ổn định này sang trạng thái ổn định khác. ¾Trigơ Schmit: -Làmộtbộ so sánh hai ngưỡng -Biến đổidạng xung đầu vào thành xung vuông ¾Phân loại: trigơ Schmit TTL, CMOS ¾Ứng dụng: mạch dao động, mạch lọc nhiễu, mạch so sánh, GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 201 BỘ MÔN KTĐT – KHOA KTĐT1 BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ Trigơ Schmit TTL (1) * Sơđồ: EC R1 R2 R4 R5 R7 T 4 D1 T 2 D3 V i V o T 1 T D4 AP 3 Z T 5 D0 R3 R6 Đầu vào Mạch Schmit Đầu Ra GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 202 BỘ MÔN KTĐT – KHOA KTĐT1 www.ptit.edu.vn 101
  102. BÀI GIẢNG MÔN ĐIỆN TỬ SỐ BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ Trigơ Schmit TTL (2) * Dạng sóng tín hiệura: VT+ t VT- to t1 t2 t3 t4 GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 203 BỘ MÔN KTĐT – KHOA KTĐT1 BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ Chương 6 – MẠCH PHÁT XUNG VÀ TẠO DẠNG XUNG 6.1. Mạch phát xung 6.2. Trigơ Schmit 6.3. Mạch đahàiđợi 6.4. IC định thời GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 204 BỘ MÔN KTĐT – KHOA KTĐT1 www.ptit.edu.vn 102
  103. BÀI GIẢNG MÔN ĐIỆN TỬ SỐ BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ Mạch đahàiđợi(đơn ổn, mộtnhịp) ¾Đặc điểm: -Mộttrạng thái ổn định, mộttrạng thái Q Đa tạm ổn định. hài - Có xung kích, mạch chuyểntừ trạng T đợi Q thái ổn định sang trạng thái tạm ổn định. -Saumộtkhoảng thờigiannhất định, mạch tự quay trở về trạng thái ổn định. ¾Phân loại: -Mạch đahàiđợiCMOS -Mạch đa hài đợi dùng trigơ Schmit -Mạch đa hài đợi TTL GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 205 BỘ MÔN KTĐT – KHOA KTĐT1 BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ 6.3.1. Mạch đahàiđợi CMOS (1) – Kiểu vi phân * Sơđồ: * Dạng sóng: ED VI ED VO1 * Độ rộng xung ra: ED VI2 ED TRRClnW0=+() * EVDT- ED R : điện trở đầu ra của cổng 1 0 VO2 - Nếu VT=ED/2: T0,7RRCW0=+() TW GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 206 BỘ MÔN KTĐT – KHOA KTĐT1 www.ptit.edu.vn 103
  104. BÀI GIẢNG MÔN ĐIỆN TỬ SỐ BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ 6.3.1. Mạch đahàiđợi CMOS (2) – Kiểu tích phân * Sơđồ: * Dạng sóng: ED VI VO1 VT VI2 VO TW GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 207 BỘ MÔN KTĐT – KHOA KTĐT1 BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ 6.3.2. Mạch đahàiđợidùngtrigơ Schmit * Sơđồ: * Dạng sóng tín hiệura: V I ED R V + VT V I C V V o V o * Độ rộng xung đầu ra: TW ED TRClnW =* + EVDT- - Nếu VT+ =ED/2 thì: T0,7RCW = GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 208 BỘ MÔN KTĐT – KHOA KTĐT1 www.ptit.edu.vn 104
  105. BÀI GIẢNG MÔN ĐIỆN TỬ SỐ BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ 6.3.3. Mạch đahàiđợiTTL * Sơđồ: * Dạng sóng: P P’ 3,6 V V1 0,3 V 3,6 V Q 0,3 V 0,7 V V2 -2,6 V * Độ rộng xung ra : 3,6 V V 3 0,3 V T0,7RCW = 3,6 V Q 0,3 V 0 246810 12 14 16 t (tpd ) GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 209 BỘ MÔN KTĐT – KHOA KTĐT1 BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ Chương 6 – MẠCH PHÁT XUNG VÀ TẠO DẠNG XUNG 6.1. Mạch phát xung 6.2. Trigơ Schmit 6.3. Mạch đahàiđợi 6.4. IC định thời GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 210 BỘ MÔN KTĐT – KHOA KTĐT1 www.ptit.edu.vn 105
  106. BÀI GIẢNG MÔN ĐIỆN TỬ SỐ BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ 6.4.1. Mạch điệncủa IC 555 (1) 8 4 * Sơđồ: -Mạch phân áp (gồm3 điệntrở R). -Haibộ so sánh. 100K - Đầurabộ so sánh điềukhiểntrigơ 5 - Q RS. 6 (1) + R - Chân 4 cho phép trigơ hoạt động. - Đầurađảocủatrigơđiềukhiển 100K transistor T để tạo đầu phóng điện. + Q 3 2 (2) - S 100K 1 7 GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 211 BỘ MÔN KTĐT – KHOA KTĐT1 BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ 6.4.1. Mạch điệncủa IC 555 (2) 8 4 * Bảng chứcnăng: TH TRIG R OUT DIS 100K (6) (2) (4) (3) (7) 5 - Q X X L 0 T Thông (1) 6 R 2 1 + > E > E 3 C 3 C H 0 T Thông 100K 2 1 EC Không T Không Q 3 3 3 H + đổi đổi (2) 2 S 1 - < E X 3 C H 1 T Ngắt 100K 1 7 GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 212 BỘ MÔN KTĐT – KHOA KTĐT1 www.ptit.edu.vn 106
  107. BÀI GIẢNG MÔN ĐIỆN TỬ SỐ BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ 6.4.2. Ứng dụng của IC 555 (1) – Trigơ Schmit 2 E - Ngưỡng trên: VE= C1 EC2 TC1+ 3 1 R R 4 - Ngưỡng dưới: VE= 1 TC1- 3 V - Độ chênh lệch điện áp: O2 VI R 2 1 R D=VV - V = E 3 V TT+-3 C1 O1 - Nếu đưa điện áp vào đầu vào C-V thì có thể điều chỉnh được VT+, VT- và ΔV. GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 213 BỘ MÔN KTĐT – KHOA KTĐT1 BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ 6.4.2. Ứng dụng của IC 555 (2) – Mạch đahàiđợi Ec * Sơđồ: EC 8 4 R R 100K VO 5 - Q (1) V 6 I + R C1 100K C1 + Q 3 * Dạng sóng: V (2) I 2 - S EC VI 100K 0 C T 2E / 3 1 7 V C C 0 E V C O 0 TRCln31,1RCW ≈ ≈ TW GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 214 BỘ MÔN KTĐT – KHOA KTĐT1 www.ptit.edu.vn 107
  108. BÀI GIẢNG MÔN ĐIỆN TỬ SỐ BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ 6.4.2. Ứng dụng của IC 555 (3) – Mạch dao động đahài * Sơđồ: Ec E 8 4 C R1 100K 5 R1 - Q 6 (1) + R C 0,01 F 1 R2 100K R 2 + Q 3 V (2) C 2 - S V O 100K C T 1 7 GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 215 BỘ MÔN KTĐT – KHOA KTĐT1 BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ 6.4.2. Ứng dụng của IC 555 (4) – Mạch dao động đahài * Dạng sóng: 2EC / 3 V * Sơđồmạch có xung ra C vuông đều: E/3C EC EC V O R1 Tnap 0,01 F Tph R 2 V C * Chu kỳ tín hiệu ra: VO TT= nap+= T ph 0,7(R2R)C 1 + 2 GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 216 BỘ MÔN KTĐT – KHOA KTĐT1 www.ptit.edu.vn 108
  109. BÀI GIẢNG MÔN ĐIỆN TỬ SỐ BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ Kếtchương 6 ¾Mạch phát xung (mạch dao động đa hài) không cần tín hiệu ngoài đưa vào, sau khi đượccấp nguồnmộtchiều, mạch tự động sinh ra xung vuông. ¾Mạch tạodạng xung (trigơ Schmit, mạch đơn ổn) không tựđộng phát xung nhưng có thể biến tín hiệu đầu vào hình dạng khác thành xung vuông theo yêu cầu. ¾Ứng dụng: -Bộ dao động đa hài thường dùng làm bộ tạo xung chuẩnthời gian và chuẩntầnsố. -Mạch đơn ổnthường dùng để định thờivàlàmtrễ xung. -Trigơ Schmit ngoài ứng dụng tạodạng xung còn ứng dụng so sánh mứcvàgiámsátmức GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 217 BỘ MÔN KTĐT – KHOA KTĐT1 BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ Chương 7 – BỘ NHỚ BÁN DẪN 7.1. Khái niệm chung 7.2. Bộ nhớ cốđịnh - ROM 7.3. Bộ nhớ bán cốđịnh 7.4. Bộ nhớđọc/viết–RAM 7.5. Đĩacứng Silicon – Bộ nhớ Flash 7.6. Bộ nhớ Cache GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 218 BỘ MÔN KTĐT – KHOA KTĐT1 www.ptit.edu.vn 109
  110. BÀI GIẢNG MÔN ĐIỆN TỬ SỐ BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ 7.1.1. Khái niệm ¾Bộ nhớ: có khả năng lưutrữ dữ liệudướidạng nhị phân (lưutrữ thông tin) ¾Mỗiô nhớđược định vị bằng mộtmãđịachỉ duy nhất ¾Thủ tụctruycậpnội dung ô nhớ (viết vào/ đọcra) được thựchiện thông qua địachỉ ô nhớ. ¾Bộ nhớ gồm: bộ nhớ bán dẫn(rất thông dụng) và bộ nhớ từ ¾Ưu điểmcủabộ nhớ bán dẫn: thờigiantruycậpnhỏ ¾Ưu điểmcủabộ nhớ từ: khả năng lưutrữ lớn GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 219 BỘ MÔN KTĐT – KHOA KTĐT1 BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ 7.1.2. Những đặctrưng chính củabộ nhớ ¾Dung lượng bộ nhớ (C): Là khả năng lưutrữ thông tin (số bit thông tin tối đalưutrữđược) - Đơnvị: bit, byte, Kbit, Kbyte, Mbit, Mbyte, -Biểuthị dung lượng theo từ nhớ n (số bit thông tin có thểđọc hay viết đồng thờivàobộ nhớ) : C/n ¾Phương pháp truy cập thông tin: -Truycậptrựctiếp: (truy cậpngẫu nhiên) -Truycập liên tiếp: (truy cậptuầntự) ¾Tốc độ truy cập thông tin: - Đặctrưng bởithờigiantruycập thông tin -Truycậptrựctiếp: khoảng (30 – vài trăm) ns -Truycậptuầntự (băng từ, đĩatừ): vài ms đếnvàis GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 220 BỘ MÔN KTĐT – KHOA KTĐT1 www.ptit.edu.vn 110
  111. BÀI GIẢNG MÔN ĐIỆN TỬ SỐ BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ 7.1.3. Phân loạibộ nhớ (1) – Theo đặcthùlưutrữ dữ liệu GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 221 BỘ MÔN KTĐT – KHOA KTĐT1 BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ 7.1.3. Phân loạibộ nhớ (2) – Theo công nghệ chế tạo BỘ NHỚ BÁN DẪN Lưỡng cực MOS TTL STTL ECL I2 L PMOS NMOS CMOS SOS CCD GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 222 BỘ MÔN KTĐT – KHOA KTĐT1 www.ptit.edu.vn 111
  112. BÀI GIẢNG MÔN ĐIỆN TỬ SỐ BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ 7.1.3. Phân loạibộ nhớ (3) – So sánh mộtsố loạibộ nhớ Loại Tốc độ Công Dung Độ Giá bộ nhớ suất lượng chống thành tiêu thụ nhiễu TTL, Nhanh Cao Thấp Thấp Cao STTL ECL Nhanh Cao nhất Thấpnhất Thấp Cao nhất nhất nhất NMOS Trung Thấp Cao nhất Cao Thấp bình nhất CMOS, Chậm Thấpnhất Cao Cao nhất Thấp SOS nhất GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 223 BỘ MÔN KTĐT – KHOA KTĐT1 BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ 7.1.4. Tổ chứcbộ nhớ (1) ¾ Các phầntử chính: A’2 -Ma trậnnhớ A’1 Bộ giảimãđịachỉ cột 12 34 5678 -Mạch giảimãđịa A’0 chỉ ô nhớ 8 D0 -Mạch logic cho Bộ 7 D1 phép đọcnội dung giải 6 A2 D2 ô nhớ mã Bộđệm D3 A1 5 -Mạch logic cho địa D4 A0 4 Vào/ra phép viếtnội dung chỉ D5 3 ô nhớ hàng D6 -Tầng đệmvàora 2 D7 -Mạch mở rộng địa 1 chỉ Các ô nhớ CS ¾ Tính dung lượng: R/W C = i * j* n (bit) GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 224 BỘ MÔN KTĐT – KHOA KTĐT1 www.ptit.edu.vn 112
  113. BÀI GIẢNG MÔN ĐIỆN TỬ SỐ BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ 7.1.4. Tổ chứcbộ nhớ (2) – Tổ chứcbộ nhớ theo từ ¾Ma trậnnhớ: Lốivàodữ liệu -Số hàng: số từ củabộ nhớ W D0 D1 D2 D3 -Số cột: số bit trong mộttừ nhớ (n) A4 ¾Mạch logic giảimã: lựachọn R/W mộttừ duy nhất trong số W từ A3 32X4 củabộ nhớ A2 A ¾Ưu điểm: thờigiantruycập 1 CS ngắn A0 ¾Nhược điểm: Khi W lớncần bộ giảimãlớn, làm tăng giá D0 D1 D2 D3 thành Lốiradữ liệu GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 225 BỘ MÔN KTĐT – KHOA KTĐT1 BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ Chương 7 – BỘ NHỚ BÁN DẪN 7.1. Khái niệm chung 7.2. Bộ nhớ cốđịnh - ROM 7.3. Bộ nhớ bán cốđịnh 7.4. Bộ nhớđọc/viết–RAM 7.5. Đĩacứng Silicon – Bộ nhớ Flash 7.6. Bộ nhớ Cache GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 226 BỘ MÔN KTĐT – KHOA KTĐT1 www.ptit.edu.vn 113
  114. BÀI GIẢNG MÔN ĐIỆN TỬ SỐ BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ 7.2.1. ThuộctínhcủaROM ¾Chỉ cho phép đọcnội dung đã đượcviếtsẵntừ trướcchứa trong nó. Nội dung này do người điều hành thiếtkế lập trình sẵnvàviết vào nó bằng mộtphương pháp đặcbiệt. ¾Ngườisử dụng về nguyên tắc là không thể hoặcrấtkhó thay đổinội dung thông tin đãviếtnhớ trong ROM. ¾Nội dung đượcviết trong ROM có tính chấtcốđịnh, không bị mất đitheothời gian hay do mất nguồnnăng lượng cung cấp cho toàn bộ hệ thống số trong đócóROM. GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 227 BỘ MÔN KTĐT – KHOA KTĐT1 BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ 7.2.2. CấutrúcchungcủaROM (1) ¾Bộ nhớ: chứacác ô nhớ (trong các ô nhớ là các từ nhớ). ¾Mạch điềukhiển: tiếpnhậncáctín hiệuvàotừ kênh điềukhiển. ¾Bộ giảimãđịachỉ: dùng để định vị ô nhớ. ¾Mạch ra: dùng để đưanội dung ô nhớ tớicácthiếtbị có liên quan cầntiếp nhậnnội dung này. GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 228 BỘ MÔN KTĐT – KHOA KTĐT1 www.ptit.edu.vn 114
  115. BÀI GIẢNG MÔN ĐIỆN TỬ SỐ BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ 7.2.2. Cấutrúcchungcủa ROM (2) – Khốinhớ ¾Kiểumảng tuyến tính: ¾Kiểuma trận: 22 21 20 Y2 1 12 11 10 n Y ể Y1 u khi 0 ề i Đ 02 01 00 Y0 0 0 0 1 X2 X1 X0 Điều khiển X GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 229 BỘ MÔN KTĐT – KHOA KTĐT1 BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ 7.2.2. Cấutrúcchungcủa ROM (3) – Mở rộng độ dài từ -Ghép các mảng/ ma trậnnhớ (chip Bus điều khiển CE1 CE2 CE1 CE2 CE1 CE2 CE1 CE2 nhớ) song song A9 với nhau. -Tín hiệu điều ROM 1 ROM 2 ROM 3 ROM 4 1k x 1 1k x 1 1k x 1 1k x 1 khiểnvàđịachỉ dùng chung cho các chip nhớ. A0 -Lối vào/ra dữ liệu của các chip nhớ được đưatớicác dảiBus dữ liệu khác nhau. GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 230 BỘ MÔN KTĐT – KHOA KTĐT1 www.ptit.edu.vn 115
  116. BÀI GIẢNG MÔN ĐIỆN TỬ SỐ BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ 7.2.2. Cấutrúcchungcủa ROM (4) – Mở rộng dung lượng -Ghép các mảng/ ma trậnnhớ (chip nhớ) nối tiếpvới nhau. -Mỗi chip nhớ lưutrữ mộtphầndữ liệu. -Lối vào/ra dữ liệucủa các chip nhớđượcnối song song. -Sử dụng Bus điềukhiển để điềukhiểnchọn chip. GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 231 BỘ MÔN KTĐT – KHOA KTĐT1 BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ 7.2.3. MROM - Đượcchế tạotrênmột phiến silic theo mộtsố bướcxử lý (như +5V quang khắcvàkhếch tán) để tạo ra những tiếpgiápbándẫncó R4 R3 R2 R1 Nhớ bit 0 tính dẫn điện theo mộtchiều(như WE0 diode, transistor trường). Các - Điểm giao nhau giữa các dây từ WE1 dây (hàng) và các dây bit (cột) tạo WE2 từ nên mộtphầntử nhớ (ô nhớ). WE3 (i) -Vị trí có diode sẽ cho phép lưu Nhớ bit 1 trữ số liệu“0”. Ngượclạinhững vị D3 D2 D1 D0 trí không có diode thì sẽ cho phép lưutrữ số liệu“1”(hoặcngược Các dây bit (j) lại). GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 232 BỘ MÔN KTĐT – KHOA KTĐT1 www.ptit.edu.vn 116
  117. BÀI GIẢNG MÔN ĐIỆN TỬ SỐ BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ 7.2.4. PROM -Tạimỗivị trí giao nhau giữadây +5V từ và dây bit đềucómột diode, đượcnốivớimộtcầu chì. R4 R3 R2 R1 -Khichưalập trình, các cầuchì còn nguyên vẹn, nội dung của WE0 PROM sẽ toàn là 0. Các dây -Khiđịnh vịđếnmộtbit bằng cách WE1 từ đặtmột xung điện ởđầuratương WE (i) ứng, cầuchìsẽ bịđứt và bit này 2 sẽ bằng 1. WE3 -Việclậptrìnhcóthểđượcthực D3 D2 D D hiệnbởingườisử dụng chỉ một 1 0 lần duy nhất, không thể sửa đổi Các dây bit (j) được. GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 233 BỘ MÔN KTĐT – KHOA KTĐT1 BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ Chương 7 – BỘ NHỚ BÁN DẪN 7.1. Khái niệm chung 7.2. Bộ nhớ cốđịnh - ROM 7.3. Bộ nhớ bán cốđịnh 7.4. Bộ nhớđọc/viết–RAM 7.5. Đĩacứng Silicon – Bộ nhớ Flash 7.6. Bộ nhớ Cache GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 234 BỘ MÔN KTĐT – KHOA KTĐT1 www.ptit.edu.vn 117
  118. BÀI GIẢNG MÔN ĐIỆN TỬ SỐ BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ 7.3.1. EPROM (1) -Phầntử nhớ: transistor FAMOST - Trong ô nhớ: cựccửaG nốivới đường từ, cực máng D nốivới đường bit, cực nguồnS nốivới nguồnchuẩn(mức1). -Khicửanổi F không có điện tích: transistor hoạt động như bình thường. -Khicửanổi F có các điệntử mang điện tích âm: ngăntrường điềukhiểncủa cựccửa. S G D hv hv Cửa điềukhiển Cửanổi Lớpoxide Lớpoxide n-Nguồn - - - n - Máng - - - Đế bán dẫnp GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 235 BỘ MÔN KTĐT – KHOA KTĐT1 BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ 7.3.1. EPROM (2) ¾Nạp thông tin: (nạp điệntử vào vùng cửanổi, tạo ra các ô nhớ mang bit ‘0’) - Dùng xung điện đặtgiữacựcG vàcực D (20V), các điện tích mang năng lượng lớn điqua lớpcáchđiệngiữa đế và cửanổi, đượcgiữ trongvùngcửanổisaukhixunglậptrìnhtắt. - Điện tích tích tụ trong vùng cửanổitrongmộtthời gian dài (> 10 năm) -Thờigianlập trình: 50ms/từ ¾Xóathôngtin: (làm mấtcácđiện tích trong vùng cửanổi) -Chiếutiatử ngoại UV vào chip nhớ, khi đócácđiệntíchhấpthụ đượcnăng lượng đủ lớn để thoát khỏi vùng cửanổi. - Trong chip EPROM có mộtcửasổ thạch anh chỉ cho ánh sáng tử ngoại điqua khicầnxóasố liệutrongbộ nhớ. -Thời gian xóa: 15 – 30 phút - Sau khi xóa, tấtcả các bit là bit ‘1’. GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 236 BỘ MÔN KTĐT – KHOA KTĐT1 www.ptit.edu.vn 118
  119. BÀI GIẢNG MÔN ĐIỆN TỬ SỐ BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ 7.3.2. EEPROM ¾Nạp điện tích: tương tự EPROM, thờigianlập trình khoảng 10ms/từ. ¾Xóa điện tích: -Nhờ lớp kênh màng mỏng oxide giữavùngcửanổitrảixuống giữa đế và cực máng, khi điệnthếđặtgiữa G và D là (– 20V) các điệntử trong vùng cửanổi đượcchảyvề cực máng qua kênh này, số liệulưugiữ đượcxóađi. -Thờigianxóabộ nhớ ngắn, khoảng 10ms. GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 237 BỘ MÔN KTĐT – KHOA KTĐT1 BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ Chương 7 – BỘ NHỚ BÁN DẪN 7.1. Khái niệm chung 7.2. Bộ nhớ cốđịnh - ROM 7.3. Bộ nhớ bán cốđịnh 7.4. Bộ nhớđọc/viết – RAM 7.5. Đĩacứng Silicon – Bộ nhớ Flash 7.6. Bộ nhớ Cache GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 238 BỘ MÔN KTĐT – KHOA KTĐT1 www.ptit.edu.vn 119
  120. BÀI GIẢNG MÔN ĐIỆN TỬ SỐ BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ 7.4.1. CấutrúcchungcủaRAM -Cũng gồm4 phần tử chính như ROM - Mạch điềukhiển: có thêm đầuvàoR/W điềukhiển hai quá trình viết thông tin vào RAM và đọc thông tin từ RAM. - Mạch đầura:có khả năng kiểm soát hai chiềutrướckhicho phép giao tiếpvới kênh dữ liệu(khi đang đọcbộ nhớ thì không đượcviếtvà ngượclại). GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 239 BỘ MÔN KTĐT – KHOA KTĐT1 BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ 7.4.2. DRAM - Cấu tạo ô nhớ DRAM gồm có một transistor trường MOS và một tụ điện C (lưu trữ một bit thông tin). - Transistor hoạt động như một công tắc, cho phép nạp hay phóng điện tích của tụ khi thực hiện phép đọc hay viết. Cực G nối với WL, cực D nối với BL hoặc BL , cực S nối với tụ điện C. - Bộ nhớ DRAM có dung lượng nhớ rất lớn và giá thành thấp. - Nhược điểm cơ bản của DRAM là có hiện tượng tự bay hơi (do sự rò điện tích trên tụ). Cứ sau 2 ms, phải làm tươi (refresh) DRAM. GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 240 BỘ MÔN KTĐT – KHOA KTĐT1 www.ptit.edu.vn 120
  121. BÀI GIẢNG MÔN ĐIỆN TỬ SỐ BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ 7.4.3. SRAM -Tế bào nhớ: trigơ. VCC -CựcG nốivớiWL -CựcD nốivớicặp đường bit. Tra T * Đọcsố liệutừ ô nhớ: ra -Bộ giải mã hàng kích hoạt đường từ WL tương ứng. TQ TQ - Hai transistor T dẫnvànốitrigơ nhớ WL vớicặp đường bit. BL BL * Viếtsố liệu lên ô nhớ: -Qua bộđệmvàovàbộ giảimãcột, số liệuviết được đặtvàobộ khuếch đạiphùhợp. -Bộ giải mã hàng kích hoạt đường từ WL và làm transistor T dẫn. Trigơđưasố liệu đượclưutrữ vào cặp dây bit. GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 241 BỘ MÔN KTĐT – KHOA KTĐT1 BÀI GIẢNG MÔN: ĐIỆN TỬ SỐ Chương 7 – BỘ NHỚ BÁN DẪN 7.1. Khái niệm chung 7.2. Bộ nhớ cốđịnh - ROM 7.3. Bộ nhớ bán cốđịnh 7.4. Bộ nhớđọc/viết–RAM 7.5. Đĩacứng Silicon – Bộ nhớ Flash 7.6. Bộ nhớ Cache GIẢNG VIÊN: THS.NGUYỄN HỒNG HOA www.ptit.edu.vn 242 BỘ MÔN KTĐT – KHOA KTĐT1 www.ptit.edu.vn 121